在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (87) |订阅

IC验证讨论 今日: 0|主题: 6529|排名: 6 

[求助] channel的size默认的是1吗?怎么修改channel的size? 109010118 2014-4-26 11416 xd_hg 2014-4-28 16:48
请高手指点SVA ended construct的问题 yjh 2008-10-23 32796 alien920804 2014-4-28 10:57
[求助] vcs mx 如何添加mif文件和hex文件 getitstart 2014-4-25 11838 gata8848 2014-4-26 20:42
[求助] 求问如何用VCS实现C代码与verilog的cosim操作。 sages 2014-4-18 21712 litterstrong 2014-4-24 15:41
[求助] 上海云间半导体 icemanlv 2014-4-24 02216 icemanlv 2014-4-24 15:01
[求助] 怎么在VC中实现systemc与verilog联合仿真 lilyzhong 2014-4-24 01630 lilyzhong 2014-4-24 14:24
[求助] 请问type::type_id::get()和type::get_type()有什么区别? creese 2014-4-17 55198 creese 2014-4-24 13:23
[求助] 请问有什么工具可以类似leda检查RTL一样对testbench的code进行检查的吗? ee_joe 2014-4-23 01832 ee_joe 2014-4-23 22:53
[求助] 修复B7 violation的问题 snowzx 2014-4-23 01235 snowzx 2014-4-23 14:23
[原创] 做后仿时,寄存器没有打拍,而是Q端和D端波形一样,这个是hold不满足么? gerry1812 2014-4-21 02084 gerry1812 2014-4-21 14:16
[求助] pg netlist后仿时遇到的一个问题 Reals_JIANG 2014-4-20 01891 Reals_JIANG 2014-4-20 15:51
[求助] VCS_2010.06版本没有vhdlan命令,也没有VCS-mx,如何实现verilog+vhdl混合仿真? lgch11 2012-9-1 55721 1013576690 2014-4-18 22:26
[原创] 发现Candence NC-Verilog在模拟SV的队列时的内存泄露问题 liusheng83 2014-1-26 23793 liusheng83 2014-4-18 22:14
[求助] 求一份UVM CookBook的Code Examples longerzhu 2014-4-15 11774 chenfengrugao 2014-4-18 12:41
[求助] system verilog 命令 小新的元 2014-4-16 11785 chenfengrugao 2014-4-18 12:38
[求助] vhdl 通过仿真器传递参数 chen.terry 2014-4-16 01821 chen.terry 2014-4-16 18:58
[转贴] 关于ahb master的uvm的implementation hbhbts 2014-4-16 02616 hbhbts 2014-4-16 12:30
[求助] 求教ocean脚本仿真问题 星星7891 2013-3-17 12127 hujiaomianhao 2014-4-16 12:26
[讨论] 同样是formal验证 formality 和questa formal 有什么区别? chenxlchenxl 2013-1-23 45798 squirrel_216 2014-4-15 22:45
[求助] 哪位高人帮忙下载一个Synopsys的RAL实例包? attachment 飞扬紫百合 2013-4-3 52243 sgq262 2014-4-14 16:08
[讨论] Encounter中将俩个IO紧靠排布后自动布线会变得非常慢 cyl_320 2014-4-12 01660 cyl_320 2014-4-12 17:03
[求助] NC verilog 怎么得到随机种子 wt_33333 2014-4-10 22241 seabeam 2014-4-12 14:19
[求助] 关于define类的宏 ldcyes 2014-4-3 21560 hbhbts 2014-4-11 14:43
[求助] OVM bench 中可以直接调用UVM的ip啊? forest0210 2014-4-10 31680 hbhbts 2014-4-11 14:24
[求助] 通过DPI在SystemVerilog中调用SystemC事务级函数时出错 Rinoa 2014-3-24 12788 qiushulin0000 2014-4-9 13:37
[求助] 求助 modelsim下UVM编译遇到的问题 attach_img aprilzww 2014-4-8 12048 aprilzww 2014-4-8 13:22
[原创] UVM的论文好发么 大家有人发过么 我擦泪 2013-11-11 31916 rod_home 2014-4-7 08:58
[原创] VCS_vE-2011.03 编译UVM遇到的问题,求帮助! pfw3001 2012-7-1 63820 rod_home 2014-4-7 08:53
[原创] fopen求助 gerry1812 2014-2-27 32102 rod_home 2014-4-7 08:52
[原创] gvim怎么开启自动补全啊? gerry1812 2014-2-28 12331 rod_home 2014-4-7 08:50
[原创] 验证功能点提取 御寒之风 2014-3-21 32437 rod_home 2014-4-7 08:48
[原创] 混合信号仿真入门参考资料 attachment 飞扬紫百合 2014-3-25 11731 rod_home 2014-4-7 08:46
[求助] NC 中使用 $system 时遇到的问题,求大神帮忙解决下 wt_33333 2014-3-26 42028 rod_home 2014-4-7 08:45
[求助] 有人有 incisiv 13.20.02 版的破解程式吗 请分享 谢谢了 ma1970 2014-4-6 02032 ma1970 2014-4-6 07:55
[求助] 安装vcs的时候提示invalid path是怎么回事? fighter212 2014-4-5 11487 fighter212 2014-4-5 14:52
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 02:49 , Processed in 0.036745 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块