在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3467|回复: 3

[求助] 【UVM】pack与unpack的用法

[复制链接]
发表于 2015-2-28 10:09:10 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
小弟最近尝试应用uvm仿真一些简单模块,主要参考《UVM_1.1_Class_Reference_Final》;
在应用到pack和unpack时,发现解包出来的数据和根据数据打的包都不对。
而且《UVM_1.1_Class_Reference_Final》中关于unpack_field_int的使用,感觉他自己的说明和给出的例子有些出入。
比如,例子中unpack_field_int给出的是2个参数,如下图
2015-02-28_095903.jpg
而对于unpack_field_int这个函数的说明中,却只有一个参数,
virtual function logic[63:0] unpack_field_int(int size)


求高人不吝解答,能给出具体使用pack和unpack的方法与实例更是感激不尽。
发表于 2015-2-28 11:55:30 | 显示全部楼层
回复 1# guikun1202298


   看看C++,类的构造函数可以自动根据参数的数量和类型来决定用哪个构造函数。system verilog是一样的。你看的这俩地方的unpack函数,其实是两个函数,只是功能类似。
发表于 2015-3-4 15:29:20 | 显示全部楼层
回复 1# guikun1202298

笔误
发表于 2015-3-4 18:05:49 | 显示全部楼层
请参考mentor的uvm cookbook,不推荐使用packer进行pack/unpack,推荐书使用宏`uvm_pack_int/`uvm_unpack_int等。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-14 22:21 , Processed in 0.026113 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表