在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[原创] ADC7606代码verilog版 attachment  ...2 xianrenwang 2017-5-13 185396 durbin 2021-11-7 10:35
[原创] 关于verilog中的case语句 alanzheng1978 2010-5-20 85376 xiaosha921 2010-10-26 14:15
悬赏 [原创] what are the nominal supply voltages(VDD) in different technology nodes ? - [已解决] fl_5588 2011-8-10 75299 fl_5588 2011-8-11 19:56
[原创] FPGA项目记录0--MCU验证 attachment  ...23 ligang1986718 2015-7-29 255335 ligang1986718 2015-8-11 22:10
[原创] dc 综合策略  ...2 aaronzht 2012-12-1 145306 elec_888 2014-5-27 20:53
[原创] 关于Xilinx 的时序约束(XDC不是UCF)可以看这里  ...2 yuelengyueming 2014-4-1 155283 jsls0701 2016-4-6 21:09
[原创] 有关12槽PCIe扩展坞支持11块PCIE SSD硬盘知识 attach_img  ...23 freefpga007 2017-7-27 215300 sayangcinta 2018-3-26 17:28
[原创] Cadence支持多核仿真吗? ieeepanda 2010-9-6 75259 wgy10086 2014-5-16 07:31
[原创] verdi突然崩溃,求帮助 atlandis 2017-11-17 45243 atlandis 2017-11-27 14:01
[原创] verilog 生成块 zhrscut 2012-8-13 65261 xaaa001 2012-9-9 02:17
[原创] xilinx sdk中右击elf,run as-> launch on hardware 报错 wide_road 2013-8-13 25207 西南山谷 2020-8-13 20:30
[原创] 求助:在dc中什么命令能让他只对电路网表综合而不进行优化,谢谢了 huaxiang123456 2012-1-3 55207 appleyuchi2 2016-3-15 21:20
[原创] 请教synplify综合 atlandis 2016-5-5 75176 reptilian77 2019-4-26 15:42
[原创] dc_shell > 执行read_lib 错误 check the installation of library compiler  ...2 52927605@qq.com 2018-2-2 105186 stupidly 2020-7-21 19:31
[原创] FPGA(EP2S60F1020I4)使用ddio,时序不满足的问题 attachment CluThiHab 2014-9-9 95209 22msccc.com 2019-4-18 13:38
[原创] Formality形式验证问题请教!!!!!! 雨打溪风 2010-12-24 65160 夏尔 2020-12-18 14:12
[原创] 如何使用chipscope看ise中ip核的波形 421704412 2013-8-6 65177 falloutmx 2013-8-8 09:21
[原创] 如何学习FPGA?  ...2 yanghgabc 2012-9-14 115100 tx00044011 2012-9-17 21:29
[原创] GTX的时钟设置有所不解,求解答。 attach_img  ...2 qiurijian 2017-6-22 145167 qiurijian 2017-7-5 15:42
[原创] 谁说 30多岁 精力 不抵刚毕业的新人的?  ...2 yangyanwen123 2016-8-19 155150 14425324 2019-12-29 22:42
[原创] 关于XILINX FPGA中VRP/VRN管脚的使用 lucien_1986 2011-11-1 65120 vivzzm131 2013-8-21 16:58
[原创] 毕业设计打算做音频采集与播放系统,大家给点意见~~ johnnyluck 2011-12-5 25141 guoyu 2011-12-6 09:03
[原创] verilog自动例化程序和例子 attachment  ...2 willis2004 2019-2-8 175114 普通学习者陈 2022-8-14 17:52
[原创] 请教时序约束问题  ...2 insunshinecn 2015-1-9 125129 老阮 2015-1-13 11:09
[原创] FPGA开发全套视频教程  ...2 saleslhzk100 2010-10-16 105050 ericwhw 2010-11-29 15:57
[原创] 关于Memory的书  ...2 aureage 2013-2-4 155042 andy2000a 2019-8-13 10:04
[原创] 问:IC设计,你们研究生阶段,导师让你们看哪些书啊 不能说的秘密 2013-5-11 05072 不能说的秘密 2013-5-11 23:04
[原创] 有谁知道UMC 28nm的Std Cell库的命名规则? killyou_6 2013-5-31 35095 gubels 2016-10-29 02:43
[原创] 分频  ...2 yongmaster 2011-4-12 125078 yongmaster 2011-4-14 14:16
[原创] quartus ii 创建sdc文件错误 lijfzcm 2013-8-26 64979 lxjucdos124 2015-2-8 10:53
[原创] ASIC设计怎么能够绕过FPGA阶段讨论  ...2 alexander21th 2011-6-6 114954 masaka_xlw 2011-6-13 16:06
[原创] 中国华为什么时候可以打开美国市场?期待 xiyan1 2010-8-24 94968 xychzkhf 2010-9-7 09:27
[原创] 学习FPGA一定要参加培训班吗?自己学不行吗 海东凤婷 2014-4-19 64968 xiaolingyun 2014-4-22 14:23
[原创] A++ 资源N001——从CST 模型到PCB 版图 attach_img shuangmu 2013-7-5 04966 shuangmu 2013-7-5 23:45
[原创] modelsim如何查看模块内部信号 kknd2009 2011-10-5 24917 lizhaohong520@ 2011-10-6 17:17
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 19:01 , Processed in 0.028067 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块