在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4967|回复: 6

[原创] quartus ii 创建sdc文件错误

[复制链接]
发表于 2013-8-26 21:45:28 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
首先说一下我的流程,先对整个工程start compilation,然后点击timequest timing analzise创建sdc文件,然后点击create timing netlist,然后写sdc文件,我只写了一句话creat_clock -name sync_clk -period  10.000 -waveform {2.000 8.000} [get_ports clk]然后开始分析错误,Critical Warning: Found minimum pulse width or period violations. See Report Minimum Pulse Width for details.

Critical Warning: Found minimum pulse width or period violations. See Report Minimum Pulse Width for details.

Error: invalid command name "creat_clk"
    while executing
"unknown_original creat_clk -name sync_clk -period 8.000 -waveform\{0.200 0.8000\}_col0"
    ("eval" body line 1)
    invoked from within
"eval unknown_original $cmd $args"
    (procedure "::unknown" line 7)
    invoked from within
"creat_clk -name sync_clk -period 8.000 -waveform{0.200 0.8000}[get_ports clk]"
    (file "triple_churning.sdc" line 1)

请教这是什么原因,菜鸟第一次弄sta,求指教
发表于 2013-8-27 09:10:56 | 显示全部楼层
有点难度  查PDF文档可能有
发表于 2013-8-27 09:25:38 | 显示全部楼层
楼主大哥,貌似命令打错了。。。。create_clock
 楼主| 发表于 2013-8-27 09:45:14 | 显示全部楼层
见笑见笑,平时写clk写习惯了哈哈
发表于 2013-8-28 16:41:36 | 显示全部楼层
创建时钟 命令出错
发表于 2015-2-8 03:19:53 | 显示全部楼层
mingling cuo l e
发表于 2015-2-8 10:53:52 | 显示全部楼层
是命令格式不对,一般为了防止写错我都是直接用的软件提供的命令模版生成的,在Edit-insert里面
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 12:24 , Processed in 0.027105 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表