在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (126) |订阅

后端讨论区 今日: 17 |主题: 20976|排名: 10 

[求助] 求助,dc没有output delay 设置窗口(dv) micosin 2011-6-5 83380 liuufo85 2013-1-25 09:28
[求助] calibre lvs验证如何设置关心PORT名而不在乎NET名? qdllj 2013-1-21 52668 wujie828 2013-1-25 08:38
[讨论] Level shifter/isolation cell 输入端口是否可以加std cell attach_img oliversai 2013-1-24 12176 陈涛 2013-1-25 01:21
[讨论] PT_check-timing出现的问题 XIDIANCAD2 2012-2-7 55083 陈涛 2013-1-24 09:43
[求助] ICC中preroute _instances电源无法连到宏单元 attach_img 白兰地 2012-3-20 22549 half_honey 2013-1-23 14:06
[求助] 后仿时异步clock之间的2T同步电路的第1T,怎样设定让它不报unkown wendy.gg.yang 2013-1-8 53359 yl5495 2013-1-23 13:45
[求助] 是不是每个SDC的命令 quartus 都支持?? chanon 2010-4-19 43092 guofu2010 2013-1-23 09:44
[求助] 关于打散的问题 libin1980 2013-1-22 21087 pandafeeder 2013-1-23 09:44
[求助] PT需要线载模型吗? yic2000 2013-1-21 43752 qwpsmile 2013-1-22 21:43
[讨论] 裸片时测ESD还是封装后测ESD? 729050850 2012-12-3 11327 zhuyujun 2013-1-22 14:57
[求助] Calibre验证MOS电容的问题  ...2 qdllj 2013-1-11 116575 damonzhao 2013-1-22 12:42
[讨论] 后仿真时序问题求解 菜鸟ASIC 2013-1-14 75722 yl5495 2013-1-22 12:40
[求助] 问一个Formality的问题,达人请进 yhang0 2013-1-19 31819 yl5495 2013-1-22 12:21
[讨论] 各个工艺节点,后端要求前端综合做到啥程度? y3rike 2013-1-22 01619 y3rike 2013-1-22 11:24
[求助] TCL文件内容替换语句 XIDIANCAD2 2013-1-21 53589 陈涛 2013-1-22 02:02
[求助] warning of get_attr yangics 2013-1-18 82880 yangics 2013-1-21 18:27
[讨论] 关于RF的实现 mailforreg1 2013-1-21 41786 mailforreg1 2013-1-21 16:12
[求助] 请教前辈 ,使用createrouteblk布线问题 attach_img hitwh126 2013-1-20 39825 Alicezw 2013-1-21 14:49
[求助] 请教各位关于ICC的读取FRAM问题 Simon0827 2013-1-21 01892 Simon0827 2013-1-21 14:42
[求助] 关于StarRCXT的问题 fhy420462303 2012-11-24 21713 AveryYoung 2013-1-21 14:12
[求助] 关于IC设计中 没有fligtline的term 小干爹z2z 2013-1-21 31302 小干爹z2z 2013-1-21 12:14
[求助] encounter cts buffer 的命名问题 raultjf 2013-1-19 21605 陈涛 2013-1-21 11:50
[求助] 【请教】Cadence Layout能不能用star rc 做参数提取 attach_img rubikhuanhuan 2010-12-31 74845 etlant 2013-1-21 04:37
[求助] DC rename warning!! toby4111981 2013-1-17 11528 icfbicfb 2013-1-19 22:08
[求助] 求助,对syn之后的网表和postRoute之后的网表做formality的问题 AveryYoung 2013-1-19 01057 AveryYoung 2013-1-19 16:32
[求助] encpunter tcl e07610230 2013-1-19 0929 e07610230 2013-1-19 15:07
[求助] 新手求解决!!! attach_img libin1980 2013-1-19 01029 libin1980 2013-1-19 11:24
[求助] DFF 的SN和RN之间要求 setup检查吗? heidixie 2013-1-17 28745 heidixie 2013-1-19 08:13
[讨论] 當T check timing ,如何下constraints ? wenhsieh 2013-1-18 11344 陈涛 2013-1-19 00:42
[讨论] 拿icc跑psynopt时报SOT_VERBOSE和PROPT_VERBOSE是什么信息 weip218 2013-1-17 11814 michaelll 2013-1-17 22:42
[解决] icc 如何创建不规则core size?  ...234 defflin 2012-12-19 3411696 half_honey 2013-1-17 19:04
[原创] 求encounter的foundation flow GavinChang 2012-3-29 51963 ikey 2013-1-17 17:43
[求助] ETS10 破解 zp_xd 2011-12-22 92805 imhell 2013-1-17 16:23
[求助] 关于DC时序分析~来大神帮助下啦!!! attach_img yic2000 2013-1-16 61507 yic2000 2013-1-17 15:45
[求助] encounter pad的连接问题 孤月飞星 2013-1-7 33719 孤月飞星 2013-1-17 15:39
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 14:57 , Processed in 0.093801 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块