在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (126) |订阅

后端讨论区 今日: 0|主题: 20919|排名: 8 

[求助] 奇怪的LVS问题 attach_img  ...2 dddjjjggg 2012-2-21 174781 worshipgun 2012-2-22 11:32
[求助] 综合网表用模拟仿真和数字仿真结果不同! chenniao 2012-2-21 21981 陈涛 2012-2-21 16:24
[求助] IR-Drop的问题 河里的鱼 2012-2-21 27251 河里的鱼 2012-2-22 21:22
[讨论] [新人的疑问]ICC做完后时序需要保证100%没有违例吗? 2421212 2012-2-21 77627 zhouweiscut 2013-12-4 11:23
[讨论] DFT S1 error :chains block at gate XXX after tracing 4609 cells 531472320 2012-2-21 02529 531472320 2012-2-21 14:03
[求助] encounter里面做place的时候 出现的问题 attach_img chineselboy 2012-2-20 32152 xln610 2012-2-22 09:48
[求助] ICC中插diode出问题【已解决】 xht84 2012-2-20 84139 yiyeyo 2012-7-28 21:24
[求助] 大家试试ICC的change_tie_connection命令 liqiang998 2012-2-20 01532 liqiang998 2012-2-20 20:02
[求助] encounter能不能进行数模混合layout? gps232 2012-2-20 23939 taoli_ic 2012-2-21 10:25
[求助] 时钟树buf/inv jerry66666 2012-2-20 67635 conan85420 2019-5-27 21:19
[求助] 奇了怪! XIDIANCAD2 2012-2-20 22071 XIDIANCAD2 2012-2-21 11:50
[求助] 关于.35工艺下的setup hold 检查 新手2009 2012-2-20 11493 whynoreason 2013-6-25 10:15
[求助] 关于ICC中删除输入端口悬空的cell的方法 X6J6P6 2012-2-20 44293 hitmic 2012-8-12 13:38
[原创] CKECO 需要删掉buffer吗 lingshaner 2012-2-20 72458 陈涛 2012-2-20 11:06
[求助] First Encounter esctabget 2012-2-19 21820 icfbicfb 2012-2-20 12:02
[求助] 关于encounter中E3V的padring的问题 attach_img zhangfan922 2012-2-19 46316 i0977454522 2018-9-5 10:15
[求助] 求助,edi中map文件怎么得到 estyzq 2012-2-19 411515 guhao1988 2016-3-30 17:37
[求助] 求助,starRCXT 设置文件问题 estyzq 2012-2-19 43476 Desingman 2017-12-19 18:03
[原创] 20nm工艺下后端设计的一些特点 digest  ...2345 陈涛 2012-2-19 4219211 airfan 2023-1-8 10:45
[求助] ICC里能不能把signal pin tie到Power或ground上 liqiang998 2012-2-18 95394 liqiang998 2012-2-21 17:31
[求助] 請問jk flip-flop仿真 yoursgun 2012-2-18 01707 yoursgun 2012-2-18 16:13
[求助] 求助,为何edi修正的hold违例,在sta后发现还有一条min delay延时[已解决] estyzq 2012-2-18 95653 estyzq 2012-2-20 10:54
[求助] 求助,请帮忙看看下面的sdf文件开头的问题[已解决] estyzq 2012-2-18 42989 oexb25 2012-3-3 14:48
[求助] 求助,pt中无法read_verilog的问题[已解决] estyzq 2012-2-18 42357 estyzq 2012-2-18 13:33
[求助] ARTISAN标准单元库  ...2 lyz543212 2012-2-17 103704 lightpisces 2017-2-13 14:41
[求助] modlsim后端仿真 XIDIANCAD2 2012-2-17 73579 starrymorn 2013-12-31 15:54
[求助] 做后端, make 和 RUN.tcl 哪个用的多? ic小顽童 2012-2-17 21951 龙溪小泮 2012-2-18 23:05
[讨论] inline or stagger I/O cell是什么意思? wohewangke 2012-2-17 69836 rvisk 2021-8-13 13:45
[求助] fm中如果存在eeprom的ip,那么应该如何处理[已解决] estyzq 2012-2-17 52159 edaStudy 2012-2-18 14:29
[原创] 求助:初学soc encounter,请问给位大侠怎样将digital布成自己所需要的形状啊? attach_img  ...2 rfid_sh 2012-2-17 146501 Andy126 2018-12-22 21:07
[讨论] 门控时钟需要修改RTL代码吗?  ...2 wohewangke 2012-2-17 129273 hunteryhq 2012-8-14 17:22
[求助] 关于用starrc提出spef文件时,COUPLE_TO_GROUND: YES | NO如何设置 attachment  ...23 X6J6P6 2012-2-16 2911811 capsw 2018-5-25 10:47
[讨论] 多少纳米以下需要考虑栅对齐?IP不能90翻转? 3lll 2012-2-16 54884 joemool 2012-2-18 21:03
[求助] icc中读取网表的问题求解(已解决) attach_img  ...2 manshy 2012-2-16 196623 umts2050 2012-3-3 19:16
[求助] 请问一个时序约束 attach_img arthur_wang_orz 2012-2-16 23306 arthur_wang_orz 2012-2-16 17:15
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 06:17 , Processed in 0.072359 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块