在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (87) |订阅

IC验证讨论 今日: 0|主题: 6571|排名: 30 

讨论区只允许讨论交流不允许上传资料,更不允许任何形式的灌水!
公告 公告: 大附件建议上传在云盘,然后分享链接(可以自行设定下载积分) jackzhang 2024-2-27    
全局置顶 隐藏置顶帖 百万元器件搜索大全  ...2 jackzhang 6 天前 11392 xiadz_1999 2024-6-14 08:47
全局置顶 隐藏置顶帖 完成资料下载,奖励300信元 | 忆阻器、存算一体芯片白皮书、解决方案文案、培... attach_img  ...23456 论坛管理员-1 2024-5-30 551308 Ganzion 昨天 12:59
全局置顶 隐藏置顶帖 ISSCC2024 Session Digest PPT Short-Course  ...23456 james2007 2024-2-23 5431019 ronyeh 2024-5-29 21:57
全局置顶 隐藏置顶帖 创芯大讲堂新课上线《DFT 设计与实现》限时75折 attach_img 创芯讲堂运营 2023-11-15 814919 hzmscut 2024-5-24 16:35
      
[求助] AHB VIP narrow配置 New zhuishizhao 前天 17:40 032 zhuishizhao 前天 17:40
[求助] 构建功能覆盖率,覆盖由数组存放的若干个离散变量 新人帖 attach_img New 鲵-两7冻物 3 天前 1119 gaurson 前天 19:12
[求助] DC综合时报错 New xiaobaiylx 3 天前 062 xiaobaiylx 3 天前
[求助] synopsys的VIP如何发送sd CMD6的group参数 New hillhwchen 3 天前 082 hillhwchen 3 天前
[求助] ADE L仿真问题 新人帖 New 罗叔雲 4 天前 8180 tianyush2ng 昨天 19:26
[求助] [求助] vc_static运行问题请教! New zlguo 5 天前 088 zlguo 5 天前
悬赏 [求助] 后仿编译 IP模型内部time check数值太大,vcs把limit替成0,VNTB warning求助 新人帖 - [悬赏 66 信元资产] Lee0007 2024-5-31 0117 Lee0007 2024-5-31 11:14
[求助] 测试用例中约束 Vline 2024-5-30 1132 Vline 2024-5-31 13:45
[求助] VCS 在屏幕上实时显示仿真信息 beny75 2024-5-30 5202 hillhwchen 3 天前
[求助] vcs编译失败 unexpected termination 新人帖 月半连 2024-5-29 2117 月半连 3 天前
[求助] upf单模块多电源口问题 attach_img 消逝时光 2024-5-29 1134 消逝时光 2024-5-29 16:40
[求助] Error-[UCLI-FORCE-NODBG] Unable to force object 新人帖 guolaing 2024-5-27 2143 guolaing 2024-5-30 11:04
[求助] Windows下安装cygwin 然后在pycharm环境下仿真报错 解構 2024-5-27 098 解構 2024-5-27 14:46
[求助] line覆盖率分析 啦啦啦123456 2024-5-27 2186 zhangdeshuai 2024-5-27 20:48
[求助] 后端仿真验证log里面有大量的<<ADRA unknow>>at time xxxxxxns (RAMS1H) 新人帖 easonjake333 2024-5-24 6202 gaurson 2024-5-31 17:47
[求助] cadence xrun && spectre 做混仿,spectre 读取的spice 网表端口,忽略大小写 hegangben 2024-5-23 091 hegangben 2024-5-23 16:09
悬赏 [求助] SMIC180BCDE工艺下高压数模混合电路版图LVS验证无法通过,求助!!! - [悬赏 200 信元资产] attach_img BigJack 2024-5-22 9380 BigJack 2024-5-28 10:29
[求助] vcs是否有默认仿真时间? Hemingway08 2024-5-22 4176 hillhwchen 3 天前
[求助] ahb vip monitor port连接 新人帖 zhuishizhao 2024-5-22 2165 年轻的韭菜 2024-5-27 17:30
[求助] upf前仿真遇到含有VDD和VSS pin的std cell的问题 attach_img 消逝时光 2024-5-22 1138 消逝时光 2024-5-22 14:46
[解决] base苏州、上海 IC验证岗位 Tommy22333 2024-5-22 3184 Tommy22333 2024-5-22 14:50
[求助] 使用S家的VIP后仿真异常慢 eason_yang 2024-5-21 4225 eason_yang 2024-5-23 08:47
[求助] vcs +incdir 后加哪些uvm文件? Hemingway08 2024-5-21 4154 年轻的韭菜 2024-5-22 10:23
[求助] 很好奇cadence xrun/irun 在命令行下怎么做混仿  ...2 hegangben 2024-5-21 11318 hegangben 前天 17:08
悬赏 [求助] totem使用仿真器include生的的.aplmmx以后需要的test.bench应该怎么写呢 - [悬赏 70 信元资产] attach_img 单车镜头毁三代 2024-5-20 1296 单车镜头毁三代 2024-5-24 16:24
[求助] 求助stb仿真报错,新人小白求助 快乐船长 2024-5-18 2224 快乐船长 2024-5-18 17:20
[讨论] verdi开启多线程读取波形的最大线程数 zhangdeshuai 2024-5-16 3204 zhangdeshuai 2024-5-20 10:09
[求助] 除了基础的功能验证,还需要做哪些? 新人帖 wt15963750 2024-5-16 2199 广梅 2024-5-16 09:29
[讨论] S家AXI VIP性能分析 bonze 2024-5-15 0139 bonze 2024-5-15 10:55
[求助] 求助大佬,scoreboard对比失败的问题 新人帖 hopez 2024-5-11 2541 kang98253 2024-5-13 10:52
悬赏 [求助] STCU模块验证 - [悬赏 200 信元资产] tommi.wei 2024-5-8 5295 tommi.wei 2024-5-20 21:53
[求助] 求助大佬,dpi的方式找不到import的function wang9n 2024-5-7 7231 Yeager1221 2024-5-10 16:06
[求助] cadence DRC lisencing error 新人帖 wrll 2024-5-7 0147 wrll 2024-5-7 20:45
[求助] VCS利用PLI/VPI建立快照以及恢复快照仿真并进行故障注入 wcdwcd 2024-5-7 8270 zhangdeshuai 2024-5-20 10:11
[原创] 一站式芯片设计服务及主流晶圆厂流片代理服务+13862124271 attach_img plaza007 2024-5-7 1179 jiangnaner 2024-5-7 10:05
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-9 15:04 , Processed in 0.025732 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块