在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 226|回复: 6

[求助] 构建功能覆盖率,覆盖由数组存放的若干个离散变量

[复制链接]
发表于 2024-6-6 18:11:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
构建功能覆盖率,现在我想建一个覆盖离散变量的仓,我用一个int类型的数组来存放这些变量。但是这个数组是在build_phase里处理生成的,按照我现在的办法建仓不成功,因为在covergroup new的时候这个数组还是空的。还有什么办法呢?
Snipaste_2024-06-06_18-09-56.png
发表于 2024-6-7 19:12:27 | 显示全部楼层
covergourp new不是也在build_phase进行的吗?按顺序比数组产生以后晚,再进行group的new操作,试试呢。
 楼主| 发表于 2024-6-11 11:29:36 | 显示全部楼层


gaurson 发表于 2024-6-7 19:12
covergourp new不是也在build_phase进行的吗?按顺序比数组产生以后晚,再进行group的new操作,试试呢。 ...


我之前是把当前的covergroup定义在当前class中,所以好像语法要求这个covergroup在new的时候必须写在当前class的new function里面,否则就会报错,所我现在尝试把covergroup的内容包在一个packag里面,然后再导入package的方式,这样好像可以在任何地方例化covergroup,我再试试!

 楼主| 发表于 2024-6-11 15:03:17 | 显示全部楼层


gaurson 发表于 2024-6-7 19:12
covergourp new不是也在build_phase进行的吗?按顺序比数组产生以后晚,再进行group的new操作,试试呢。 ...


我尝试把covergroup包到一个package里,然后再导入此package并例化其中的covergroup,但是在仿真的时候遇到一个问题,会报这个package里定义covergroup的那一行有:error in expression evaluation ,能帮我看下嘛,谢谢!

仿真log报错信息

仿真log报错信息

package中covergroup的代码

package中covergroup的代码
发表于 2024-6-12 18:11:14 | 显示全部楼层
package好像不是这么用的,我记得package里面都是include一个完整的class/module文件这样的,你这种属于不完整代码,可能会出错,我都是单独用一个clase文件来定义covergroup,这也是SV参考书推荐的,你试试看。
 楼主| 发表于 昨天 15:27 | 显示全部楼层


gaurson 发表于 2024-6-12 18:11
package好像不是这么用的,我记得package里面都是include一个完整的class/module文件这样的,你这种属于不 ...


忘了回复结果,我最后使用了您推荐的方法,通过脚本产生了一个包含所有covergroup 的class并包装了一个task用于选择性的调用不同的covergroup的sample函数,谢谢指点!
发表于 昨天 19:00 | 显示全部楼层
不客气,都是经验之谈,对你有帮助就好。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-20 23:07 , Processed in 0.068963 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表