在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 178|回复: 4

[求助] vcs +incdir 后加哪些uvm文件?

[复制链接]
发表于 2024-5-21 15:50:02 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
正在学习uvm,对vcs命令还不熟悉。
我看makefile里vcs命令后经常跟 +incdir+$UVM_HOME/src $UVM_HOME/uvm.sv $UVM_HOME/src/dpi/uvm_dpi.cc

想问一下添加了路径$UVM_HOME/src后,为什么还要单独再添加src下的uvm.sh和uvm_dpi.cc?
以及我还看到有些例子会再+incdir+$UVM_HOME/src/uvm_pkg.sv,是如果用到uvm_pkg.sv一定要加上这句吗?
发表于 2024-5-21 21:41:51 | 显示全部楼层
要不试试VCS_UVM_HOME这个环境变量
发表于 2024-5-22 07:34:17 | 显示全部楼层
+incdir+$UVM_HOME/src
$UVM_HOME/src/uvm_pkg.sv
rtl/dut.v
uvm_hierarchy/top_tb.sv

我的filelist里写这四行就可以跑起来了,当然还要设置一下环境变量
setenv UVM_HOME /xxxx/Synopsys/vcs/2020.12-sp1/etc/uvm-1.1
发表于 2024-5-22 09:44:10 | 显示全部楼层
可以直接用-ntb_opts uvm-1.2就好了
发表于 2024-5-22 10:23:44 | 显示全部楼层
加uvm_dpi.cc是因为UVM中的一些DPI调用需要,这是C代码,不在sv中,所以额外加;不光要加,还要传入 -CFLAGS -DVCS参数 ;当然现在eda安装目录下有适配的uvm库,编译命令也支持直接使用安装包内的uvm库,比如s家的-ntb_opts uvm,c家的-uvm;这样就不需要额外指定编译uvm库了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-15 17:26 , Processed in 0.021271 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表