在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (97) |订阅

IC验证讨论 今日: 1 |主题: 6695|排名: 22 

[求助] 设计中存在VHDL和verilog文件,编译找不到VHDL文件 kingbirdmax 2015-10-9 11800 A1985 2015-10-9 17:40
[求助] 怎样进入IC验证这一领域  ...23 snowman3852 2011-6-26 2522568 goxdl 2015-10-8 15:04
想问问IC验证的相关流程  ...2 swjwjzd 2008-8-26 196032 swjwjzd 2015-10-6 19:07
[求助] Altium designer13.3文件原理图怎样转换成cadence格式的呢 slulady 2015-10-6 01429 slulady 2015-10-6 11:17
[原创] 搞不懂m_sequencer 和 p_sequencer的区别,请指教 atlandis 2015-7-8 22742 白云黄鹤 2015-10-3 16:23
[求助] 请教 VCS和NC的区别 logue 2015-9-11 23558 白云黄鹤 2015-10-3 16:15
[招聘] 浙江大华技术股份有限公司 诚招 “IC验证工程师” 岗位 ic_dahuatech 2015-9-22 22106 白云黄鹤 2015-10-3 16:11
[求助] 请问在ncverilog工具里面dump用systemverilog写的类(class)的波形呢? kinglongzh 2013-5-15 23346 kinglongzh 2015-10-3 00:43
[调查] 关于perl学习中该学哪些内容 kaizige521 2014-4-3 62736 Timfnes 2015-9-30 19:01
[求助] 芯片-FPGA验证-时序约束 小工兵 2015-9-30 01616 小工兵 2015-9-30 18:47
[求助] 关于DPI使用找不到dpiheader.h的问题 zuiqiangzhe 2013-12-27 22436 goodivan 2015-9-30 11:01
[求助] cadence 仿真出错 attach_img hasley 2015-9-29 11410 designstart 2015-9-29 20:09
[求助] win7 64位,questa sim 10.2c仿真systemverilog DPI出错 attach_img gateway91 2015-4-16 52534 ovalence 2015-9-28 10:25
[讨论] UVM中reference model的问题 woloven70 2015-7-7 11918 willym 2015-9-27 13:26
[原创] 请教constraint  ...2 atlandis 2015-9-23 103506 justin371 2015-9-25 13:16
[求助] irun怎么生成uvm环境的波形呢? bbpfancy 2014-6-28 24974 justin371 2015-9-25 13:13
[求助] D类音频放大器中功耗测试 attach_img 张小明 2015-9-16 21699 张小明 2015-9-25 10:11
[求助] UVM1.2环境搭建问题 rickbest 2015-9-24 01727 rickbest 2015-9-24 15:04
[求助] UVM仿真时,monitor模块报错 大姐开门啊 2015-7-16 72182 justin371 2015-9-24 13:00
MPLAB C18程序库(中文) attachment  ...2 cacc 2009-9-21 103376 dylanchen4126 2015-9-24 12:39
悬赏 [求助] VCS怎么加notimingcheck以及过滤negative timing? - [已解决] liaotian001 2015-9-24 13055 mouchenjie 2015-9-24 00:45
[求助] 增量编译  ...2 zlyld 2015-9-10 114117 mndzjsjczex 2015-9-23 17:39
[求助] AMS irun 求助 wbchen 2015-9-22 02587 wbchen 2015-9-22 10:11
[求助] 用SPYGLASS做RTL的CDC时为啥会生成很多RTLC? bigzt 2015-9-21 01482 bigzt 2015-9-21 14:30
[求助] modelsim10.0d + uvm-1.1b源码仿真工程搭建问题求指教 duanli112 2012-8-31 43360 communicator 2015-9-21 14:18
[求助] 请教一句system verilog中的断言 sdustyj 2011-10-8 816257 lovehere33 2015-9-17 18:30
[求助] 使用ICCR分析code coverage的问题? it_s_me 2015-3-2 42434 jumphigh1987 2015-9-17 15:49
[求助] systemverilog条件约束求助 jimoxiaowu2011 2015-9-1 32728 lovehere33 2015-9-16 19:23
[求助] 请教RC怎么调用chipware 孙田雨 2015-9-16 12719 孙田雨 2015-9-16 18:31
[讨论] sv中类的声明/嵌套 似水如烟 2015-9-16 02154 似水如烟 2015-9-16 11:02
[原创] synopsys vcs求助  ...2 bruce384 2014-5-17 135715 keenforce001 2015-9-15 15:51
[原创] systemverilog 如何用sample()收集功能覆盖率信息?  ...2 pfw3001 2012-7-6 119741 mlqe 2015-9-14 09:50
[求助] 命令行运行questasim 比较慢的原因 guolehaohao 2013-12-9 83889 guolehaohao 2015-9-12 22:37
[求助] 求UART的modem测试。。。。 风释怀 2015-9-11 11752 风释怀 2015-9-11 09:43
悬赏 [求助] 求助,关于在vmware安装redhat是报错“处理驱动器时出错” - [悬赏 50 信元资产] attach_img 200905303 2015-9-10 01922 200905303 2015-9-10 15:45
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 10:50 , Processed in 0.026867 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块