在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3669|回复: 2

[讨论] 关于SDF timing check与单元库timing check的问题

[复制链接]
发表于 2016-5-18 16:17:20 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教大家一个问题:SDF中timing check与单元库中的timing check关系是什么,后仿的timing check以哪个为准呢?
发表于 2016-5-27 16:07:27 | 显示全部楼层
库中的timing_check类似于库的时序建模,里面声明的值不是真实的;而sdf中针对库的模型,对应于库的每一种sdf_cond都有一个具体的值,按照库的模型对应的反标,进而实现真实delay的仿真!
 楼主| 发表于 2016-5-28 09:16:34 | 显示全部楼层
回复 2# suzfile_ccore

已经搞清楚了,谢谢指导。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 04:48 , Processed in 0.021460 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表