在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: oscillator_cn1

[求助] 求助:UVM的virtual sequence的问题

[复制链接]
发表于 2013-1-24 17:46:27 | 显示全部楼层
回复 1# oscillator_cn1


    请问楼主,UVM怎么显示的波形?
发表于 2013-10-22 12:16:38 | 显示全部楼层
(1)比较典型的UVM结构,因此代码结构肯定没有问题,vseq的使用也不是问题,也肯定不是defaut seq的问题
(2)肯定是你这个seq对应的driver停在某个仿真时刻,导致仿真的事件队列无法往下进行了。比如driver死锁在某个while(1)或forever中或wait在某个地方无法往下走。
发表于 2013-10-24 17:13:34 | 显示全部楼层
同意楼上的!
发表于 2013-11-23 11:41:50 | 显示全部楼层
我也遇到同样的问题了,楼主能具体说下哪儿出错了么
 楼主| 发表于 2013-11-25 10:18:58 | 显示全部楼层
回复 14# lijinsong1986
sequencer与sequence没绑好,还是driver与sequencer没绑号,还是virtual sequencer与实际sequencer没绑好的问题。反正不是贴出来的代码的问题。常常问题是在我们觉得有问题的代码之外的问题。
发表于 2013-11-26 15:02:42 | 显示全部楼层
同求~~~
发表于 2015-8-12 23:53:00 | 显示全部楼层
楼主,我现在遇到跟你一样的问题,你当时怎么解决的
发表于 2016-6-22 13:46:18 | 显示全部楼层
真不知道我什么时候才能看明白UVM
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 01:42 , Processed in 0.025757 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表