在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: buley

[统计] 你是用VHDL还是用Verilog HDL?

[复制链接]
发表于 2017-3-21 15:50:35 | 显示全部楼层
VHDL其实功能很强大
发表于 2017-4-10 15:17:24 | 显示全部楼层
有了systemVerilog,VHDL还有啥优势吗?
发表于 2017-4-28 16:43:10 | 显示全部楼层
小白,学习verilog!!
发表于 2017-4-30 12:19:53 | 显示全部楼层
verilog 的語法比較清楚 不過 Hdl Chip Design: A Practical Guide for Designing, Synthesizing & Simulating Asics & Fpgas Using Vhdl or Verilog的國外人Douglas J. Smith寫的書 有verilog 和VHDL
发表于 2017-5-8 23:26:55 | 显示全部楼层
学习的时候是VHDL,工作以后使用Verilog,感觉Verilog上手很快
发表于 2017-5-11 09:40:16 | 显示全部楼层
Currently I using VHDL for simulation, but I wanna use Verilog
发表于 2017-5-18 22:59:55 | 显示全部楼层
仿真用VHDL,其他用Verilog
发表于 2017-6-25 21:43:08 | 显示全部楼层
我两者都用,VHDL是带类型检查的HDL,verilog是没有这个偷懒的HDL现在用了systemverilog  VHDL是个优雅难学的语言,其实能用好的人真的不多
使用VHDL的朋友自己得检讨下,这个绝世好剑你是不是只会用来切菜的招式
发表于 2017-6-25 22:54:36 | 显示全部楼层
Verilog 跟 C 比較類似 而且不會太多冗餘, 個人看法
发表于 2017-8-13 22:29:07 | 显示全部楼层
在学校用的VHDL,工作后一直VERILOG,主要看办公室之前用的什么,有个传承
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 15:32 , Processed in 0.036909 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表