在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (87) |订阅

IC验证讨论 今日: 1 |主题: 6546|排名: 19 

讨论区只允许讨论交流不允许上传资料,更不允许任何形式的灌水!
12下一页
返 回 发新帖
公告 公告: 大附件建议上传在云盘,然后分享链接(可以自行设定下载积分) jackzhang 2024-2-27    
[解决] 关于后仿真中SDF文件的hold time的负值问题(已解决供参考) attach_img  ...23456 zeushuang 2013-1-17 5633467 热爱IC的小白 2023-5-30 15:07
[解决] 菜鸟关于S2IBIS3的使用 attach_img  ...23456 qzzidane 2014-4-12 5219093 jiangnaner 2024-2-5 16:08
[解决] nc_verilog如何dump出fsdb波形啊(已解决)  ...2 maggady 2012-8-24 1017178 冰馨若颜 2018-9-3 16:47
[解决] Error: Failed to find 'PLL_LOCKG' in hierarchical name eyeloveu 2012-6-21 18564 JIANGXHUA 2015-1-12 21:49
[解决] Centos7-x64系统安装Questasim-10.4c的详细流程 新人帖  ...2 lisy0526 2019-12-2 118460 sudatt 2021-11-26 16:35
[解决] modelsim怎么破解?  ...2 284294022 2011-11-21 118399 robtu328 2012-12-19 01:48
[解决] vcs的simv无法成功运行  ...2 randolpha 2021-2-6 157866 huaximilk 2023-8-27 12:37
[解决] 寄存器模型执行read/write会死循环。 attach_img  ...23 桅杆 2019-8-26 207248 桅杆 2021-8-5 15:35
[解决] 解决!(lmgrd) Failed to open the TCP port number in the license. thostones 2015-1-14 16717 zyp96 2020-10-6 16:36
[解决] uvm跟vcs仿真中+UVM_VERBOSITY=$(UVM_VERBOSITY)是起什么作用 851018986 2018-1-20 76266 mailtoanoopbabu 2020-5-15 23:18
[解决] ncverilog带SDF后仿反标delay的问题? alexto 2018-10-24 96280 Top_Zhang 2021-8-10 09:41
[解决] UVM寄存器模型的后门访问路径设置 新人帖 chip_z 2020-8-22 96162 koss123 2023-8-24 21:37
[解决] neither the item's sequencer nor dedicated sequencer has been supplied to start item in default_parent_seq是什么 851018986 2019-10-15 96148 情舍至尊 2022-8-23 15:38
[解决] 开机自动加载VCS license  ...2 qwpsmile 2010-4-14 106038 junnit 2021-6-14 08:44
[解决] AXI UVM验证  ...2 770498962 2020-6-12 105456 yueyuedong 2021-4-1 21:27
[解决] VCS如何使用psl断言 endyson 2011-9-11 05388 endyson 2011-9-11 01:25
[解决] MODELSIM+WIN环境下SYSTEMVERILOG调用C函数 xyz103053 2016-2-26 85169 saipolo 2019-7-10 12:03
[解决] 数字验证的基本内容还有啥呀?  ...2 LinyLin 2018-10-26 133721 uiwjyb 2018-11-12 22:00
[解决] 输出状态为X 新人帖 attach_img  ...2 becky9411 2021-11-12 113709 appleleaf 2021-11-16 02:00
[解决] 将vcs的upf的脚本转移到cadence环境中 attach_img 新嘴小王安子 2021-1-25 83525 Allen_Du 2023-2-1 14:41
[解决] VCS仿真中的license问题 lordprotector 2012-2-27 23038 lordprotector 2012-2-28 21:47
[解决] 波形工具dev中如何在仿真的过程中实时的自动刷新波形 851018986 2018-12-3 53121 851018986 2018-12-5 11:16
[解决] 关于OVM lib james.li2010 2010-9-20 32949 nslnsl 2010-9-21 17:45
[解决] QuestaSim仿真时transcript窗口没有display信息 新人帖 attach_img 套马的汉子 2020-12-18 12961 l13787908861 2020-12-23 10:00
[解决] 怎样用C语言产生随机有符号数(验证寄存器)??thanks 787782240 2011-5-20 32773 mightymophoto 2011-7-12 03:18
[解决] I need a cell characterization tool a7medx 2016-9-12 22606 eruisi 2016-9-27 06:53
[解决] formality 吃内存 defflin 2012-11-13 32608 defflin 2012-11-26 17:46
[解决] 在simvision 如何对C 代码进行debug? my2817 2014-11-5 12614 my2817 2014-11-5 21:43
[解决] Cadence 操作问题 kan225kan 2011-6-19 02481 kan225kan 2011-6-19 23:32
[解决] verilog编码 zheng070608138 2012-11-13 22431 zheng070608138 2012-11-13 16:15
[解决] ZeBu joeljun 2013-11-29 12347 飞扬紫百合 2014-8-1 15:29
[解决] 关于功能覆盖率 attach_img becky9411 2021-11-26 72401 lsadds 2021-12-8 09:36
[解决] 验证码出错的解决办法 liangheng 2012-1-1 02333 liangheng 2012-1-1 13:34
[解决] 问问调试过UVM自带的例子 codec的达人们 cgssuccess 2013-5-16 22299 kinglongzh 2013-5-19 16:17
[解决] 已解决 851018986 2018-8-1 22156 新嘴小王安子 2018-8-2 14:04
下一页 »
12下一页
返 回 发新帖

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 09:12 , Processed in 0.020532 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块