|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
本帖最后由 my2817 于 2014-11-5 21:44 编辑
Dear All:问题可能很简单,但对我这个新手来说就太难了……
在我的testbench中包含了部分 C 代码,使用system verilog 的DPI接口,这也是我第一次这么做,以前没玩过这么高级的功能,现在不知道怎么在simvision中对C进行 debug,在此开贴求教
下面例子 system verilog部分:
- module test (/*AUTOARG*/ ) ;
- shortint mem[100:0] ;
- import "DPI-C" function void test_c( input shortint inHindle[],input int a);
- initial begin
- for(int i=0;i<= 100;i++)begin
- mem[i] = i;
- end
- test_c(mem,2); //
- #100 $stop;
- end
- endmodule // test
复制代码
C代码部分:
- #include "svdpi.h"#include "stdio.h"#include "string.h"#include "stdlib.h"void test_c(const svOpenArrayHandle inHandle,int a){ short int * pData; a=10; int * pTeste = (int *)calloc(1304*748,sizeof(int));pData = (short int * )svGetArrayPtr(inHandle); printf("%d\n",pData[20]); free(pTeste); a =12; a=23; a=24; printf("a= %d ",a);}
复制代码
这个例子我简化了,实际的tb里的 C是作为reference design的算法部分。
按照simvison的 API debug里头说的进行debug,我在debug的时候,C中变量值一直显示不出来,见下图:
我在C中对 a 进行了几次更新 ,但左边一直显示为“0”,看了几篇文档了,现在不知道应该怎么继续下去,望大神指条明路, |
|