在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2697|回复: 1

[解决] 在simvision 如何对C 代码进行debug?

[复制链接]
发表于 2014-11-5 15:13:53 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 my2817 于 2014-11-5 21:44 编辑

Dear All:问题可能很简单,但对我这个新手来说就太难了……
在我的testbench中包含了部分 C 代码,使用system verilog 的DPI接口,这也是我第一次这么做,以前没玩过这么高级的功能,现在不知道怎么在simvision中对C进行 debug,在此开贴求教

下面例子 system verilog部分:




  1. module test (/*AUTOARG*/ ) ;

  2.    shortint mem[100:0] ;

  3.    import "DPI-C"  function void test_c( input shortint inHindle[],input int a);

  4.    initial begin
  5.       for(int i=0;i<= 100;i++)begin
  6.          mem[i] = i;
  7.       end
  8.       test_c(mem,2);          //
  9.       #100 $stop;  
  10.    end  
  11. endmodule // test


复制代码



C代码部分:




  1. #include  "svdpi.h"#include "stdio.h"#include "string.h"#include "stdlib.h"void test_c(const svOpenArrayHandle inHandle,int a){  short int * pData;   a=10;  int * pTeste = (int *)calloc(1304*748,sizeof(int));pData = (short int * )svGetArrayPtr(inHandle);  printf("%d\n",pData[20]);  free(pTeste);  a =12;  a=23;  a=24;  printf("a= %d ",a);}


复制代码

这个例子我简化了,实际的tb里的 C是作为reference design的算法部分。
按照simvison的 API debug里头说的进行debug,我在debug的时候,C中变量值一直显示不出来,见下图:


我在C中对 a 进行了几次更新 ,但左边一直显示为“0”,看了几篇文档了,现在不知道应该怎么继续下去,望大神指条明路,
 楼主| 发表于 2014-11-5 21:43:43 | 显示全部楼层
OK, 自己摸索出来了,最终发现系统上的gcc与NC的匹配,使用NC的gcc来编译C文件就好了
其gcc的路径大致如下
$CDSROOT/tools/cdsgcc/gcc/4.4/bin/gcc -fPIC -shared -g -o libdpi.so ../scr/*.c
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 15:46 , Processed in 0.016915 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表