在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3057|回复: 6

[求助] Xilinx vivada中debug的波形文件如何保存为数据文件格式?

[复制链接]
发表于 2015-9-7 19:41:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
之前用的chipscope中的波形文件可以导出为.prn的文件格式,不知道在vivada中如何导出,求助大神帮助!!!
发表于 2015-9-7 20:41:40 | 显示全部楼层
回复 1# naijgnay

用tcl命令,具体可查xilinx文档,命令在公司电脑中,忘记了。保存为ltx的文件,和prn文件有很大的差异。
发表于 2015-9-7 20:58:30 | 显示全部楼层
回复 1# naijgnay

刚才回复有点问题,默认是ila文件格式,类似于hex合适的文件,不好分析。可以保存为csv格式的,write_hw_ila_data -csv_file wave  [current_hw_ila_data]

会生成一个csv格式的文件,可以用excel找开,最后一列数据应该是你想要的。

 楼主| 发表于 2015-9-8 10:20:58 | 显示全部楼层
回复 3# polozpt

多谢回复,但是这样保存下来的数据都是二进制的(我在波形窗口显示的是十进制的),并且二进制前面的0都省略了,这样不大好分析,我在波形窗口中从总线信号中分出一些信号出来单独显示,但是在csv文件中没有这部分信号呢?,请问可以使文件中保存的数据为十进制数吗?还有如何指定保存文件的路径?谢谢
 楼主| 发表于 2015-9-8 10:25:32 | 显示全部楼层
回复 2# polozpt

还望告知一下命令,下面那个保存.csv的也不大好分析,想试试这个命令,多谢!!
发表于 2015-9-8 20:48:51 | 显示全部楼层
回复 5# naijgnay
波形窗口显示10进制的,用tcl命令保存为csv格式的文件仍未二进制显示的,在excel中可以通过数值显示方法显示为10进制,但是这样很绕很麻烦。感觉和之前的prn文件相比,太难分析了。路径的话应该好改些。因为导出的数据的格式问题,我现在基本不用vivado下的chiscope,利用软核进行串口采集数据进行分析。若是简单的信号可以通过chipscope进行分析。我知道的就这么多了。
 楼主| 发表于 2015-9-8 21:48:51 | 显示全部楼层
回复 6# polozpt
好的,多谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 14:19 , Processed in 0.023737 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表