在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 17037|回复: 10

[解决] nc_verilog如何dump出fsdb波形啊(已解决)

[复制链接]
发表于 2012-8-24 15:43:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 maggady 于 2012-8-24 21:18 编辑

各位大牛,nc_verilog怎么dump出fsdb波形啊,网上的方法都试过了,但是dump出来的波形拉到verdi里没有信号,是一块蓝色的区域,信号值都是NV...

tb中已经加入$fsdbDumpfile("wave.fsdb");
                     $fsdbDumpvars(6, tb);



用PLI的时候$> ncverilog +access+wrc +loadpli1= ./nc_loadpli1/debpli.so : debpli_boot rtl.files,经常报错。。。。。。
发表于 2012-8-24 15:47:01 | 显示全部楼层
nc的选项里面要加上+define+fsdb
 楼主| 发表于 2012-8-24 15:54:23 | 显示全部楼层
回复 2# shaoqingtju


   加了,fsdb文件也生成了,但就是用verdi打开时,信号区域是一大块蓝色区,信号值都是NV
 楼主| 发表于 2012-8-24 16:06:02 | 显示全部楼层
解决了,是因为tb里加入了$fsdbDumpoff,时间太短了
发表于 2014-3-14 01:17:53 | 显示全部楼层
NC支持VHDL,你的文件的命名格式可能不对吧。。。
发表于 2014-3-14 09:14:28 | 显示全部楼层
study
发表于 2017-6-15 11:19:52 | 显示全部楼层
回复 4# maggady


   请教一下,+loadpli1= ./nc_loadpli1/debpli.so : debpli_boot rtl.files,这个命令中的 rtl.files是什么东西?你的代码的文件列表还是说这个命令必须要带这么个东西,后面再单独增加一个文件列表?
发表于 2017-6-15 11:41:53 | 显示全部楼层
再问一句,我试用了和你的同样的命令,为什么不能生成FSDB,还出现illegal Access specification(),括号内为我指定的绝对路径?非常感谢
发表于 2017-6-15 11:43:26 | 显示全部楼层
再问一句,为什么我按照你发的这个来结果却出现了 illegal Access specification这样的错误?
发表于 2017-6-19 19:27:47 | 显示全部楼层
$fsdbDumpvars(6, tb); 请问括号里的是什么含义?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 20:46 , Processed in 0.039560 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表