在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2928|回复: 3

[解决] 关于OVM lib

[复制链接]
发表于 2010-9-20 15:16:39 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 james.li2010 于 2010-9-21 14:51 编辑

OVM lib中包括
1) base classes, 可以用import ovm_pkg::*加入
2) utilities, 可以用include "ovm.svh"加入
3) macros, 可以用include "ovm_macros.svh"加入

我的问题是,
1) 上述每一行代码加入一部分的理解是否正确?

2) 为了避免语法错误, 是否需要在每一个文件中用import/include将这三部分全部加入呢?
如果不需要,如何使用?

谢谢!
发表于 2010-9-21 12:15:37 | 显示全部楼层
你是不是在ovm论坛发过同样的问题?技术支持已经回答你了,前两个会产生冲突的,是一样的东西。只要在顶层module里面加入即可,不用每个模块都加入,否则会冲突。
 楼主| 发表于 2010-9-21 14:51:13 | 显示全部楼层
呵呵,是我,谢了。
发表于 2010-9-21 17:45:16 | 显示全部楼层
不需要,可以嵌套的!!!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 22:24 , Processed in 0.024146 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表