在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 23116|回复: 19

always @ * 是什么意思啊

[复制链接]
发表于 2007-5-3 21:08:18 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
verilog中看到如下
always @(*)
begin
       output = 8'b0;
       output[input] = 1'b1;
end

这里的* 代表什么意思啊?

谢谢大家
发表于 2007-5-3 21:26:00 | 显示全部楼层
好象是指 任意电平跳变吧
发表于 2007-5-3 22:06:14 | 显示全部楼层
The always @* procedural block will eliminate the need to list every single always-block input in
the sensitivity list. This enhancement will reduce typing, and reduce design errors. The intent was
to reduce effort when coding combinational sensitivity lists and to reduce opportunities for coding
errors that could lead to a pre-synthesis and post-synthesis simulation mismatch.

简单的说就是: *表示在该模块中敏感的所有电平信号
发表于 2007-5-3 22:45:01 | 显示全部楼层
jichu 的书上有
发表于 2007-5-4 16:06:23 | 显示全部楼层

always

表示该模块对系统中的所有电平信号都敏感!!
发表于 2007-5-12 10:43:45 | 显示全部楼层
所有敏感信号
发表于 2007-6-3 20:42:05 | 显示全部楼层
表示包括了该模块中出现过的所有敏感电平
发表于 2007-6-4 15:01:18 | 显示全部楼层
敏感变量列表
发表于 2007-6-6 22:29:44 | 显示全部楼层
学习了
好象是第一次看到
发表于 2007-8-20 14:54:22 | 显示全部楼层
不够严谨的coding方法,仿真速度会很慢,综合要看综合器的支持程度。不建议使用
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 16:23 , Processed in 0.037548 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表