|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
用ise软件调试simple dual port ram 时数据没办法读出,是什么问题。附testbench程序module ram_test;
// Inputs
reg clk;
reg clka;
reg clkb;
reg reset_n;
reg wea;
reg [8:0]addra;
reg [8:0]addrb;
reg [31:0]dina;
// Instantiate the Unit Under Test (UUT)
wire [31:0]doutb;
ram_top uut (
.clk(clk),
.reset_n(reset_n),
.wea(wea),
.addra(addra),
.addrb(addrb),
.dina(dina),
.doutb(dounb)
);
initial clka=0;
always #4 clka=~clka;
initial clkb=0;
always #4 clkb=~clkb;
integer i;
initial begin
wea=0;
reset_n = 0;
addra=0;
addrb=0;
dina=0;
#101;
wea=1;
reset_n = 1;
for(i=0;i<510;i=i+1)
begin
addra=addra+1;
dina=32'h12345678+i;
#8 ;
end
// wea=0;
#80;
wea=0;
for(i=0;i<510;i=i+1)
begin
addrb=addrb+1;
#8;
end
$stop;
end
endmodule
modelsim仿真的结果是能写入,不能读出 |
|