在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5758|回复: 12

[求助] 三分频电路跑不到100MHz? 求高人解答

[复制链接]
发表于 2011-8-26 09:34:52 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
写了一个三分频的电路,代码如下:
/////////////////////////////////////////////////
module div3(clk,rst,div_clk);
  input clk;
  input rst;
  
  output div_clk;
  
  wire div_clk;
  
  reg [1:0] count_a;
  reg [1:0] count_b;
  
  always@(posedge clk)
  if (!rst)
      count_a <= 0;
  else
     begin
      count_a <= (count_a == 2)? 0 :count_a+1;
     end
  always@(negedge clk)
    if (!rst)
      count_b <= 0;
  else
     begin
      count_b <= (count_b == 2)? 0 :count_b+1;
     end
assign div_clk = ((count_a!=2)&&(count_b!=2));
endmodule
/////////////////////////////////////////////////
Quartus10.1的版本综合,综合没改其他约束,器件选的是stratixii
用TimeQuest分析,约束文件如下
create_clock -name clk -period 10.000 -waveform {0 5} [get_ports clk]
set_input_delay -clock { clk } -max 2 -add_delay [get_ports rst]
set_output_delay -clock { clk } -max 2 -add_delay [get_ports div_clk]
create_generated_clock -name clkb0 -source [get_ports {clk}] -invert [get_pins {count_b[0]|clk}]
create_generated_clock -name clkb1 -source [get_ports {clk}] -invert [get_pins {count_b[1]|clk}]
/////////////////////////////////////////////////
时序分析结果,直接上图
先给个综合的RTL图
4.jpg
然后是时序分析报告:
1.jpg
可以看到时序违例都是inter to output的
2.jpg
波形如下,最下面的是Data Required Time
3.jpg

我不明白的是clock delay怎么会那么大,一个简单的三分频电路跑不到100MHz
求高手解答
发表于 2011-8-26 09:59:53 | 显示全部楼层
组合逻辑较多,可加下pipeline
发表于 2011-8-26 11:11:59 | 显示全部楼层
或考虑将div_clk加约束用上全局时钟网络,
或者用原时钟,把分频时钟当使能信号来用,或者用PLL等分来的时钟,一般不建议使用寄存器分频,尤其在高速时。
 楼主| 发表于 2011-8-26 11:15:55 | 显示全部楼层
回复 2# 110500623

这个组合逻辑也算多么? 应该不至于啊,寄存器前一级一个加法器两个Mux,后一级一个比较器,一个非门,一个与门
感觉这是一个比较简单的电路了,这样的组合逻辑300M跑不到就算了,100M都跑不到
是不是要从器件映射底层去看组合逻辑?
 楼主| 发表于 2011-8-26 11:20:00 | 显示全部楼层
本帖最后由 V116 于 2011-8-26 11:29 编辑

回复 3# eaglelsb

       当然,我做工程的时候也是用PLL来分、倍频,只是刚好综合了一个寄存器分频电路,又时序分析了下,发现100M都跑不到,就上来问问啦
      100M对于FPGA来说算中等,不算太高频吧。

      不考虑在系统电路中用div_clk,只是看分频电路,div_clk时序违例,难道真是那几个组合逻辑的问题?
 楼主| 发表于 2011-8-26 11:32:32 | 显示全部楼层
回复 3# eaglelsb


           当然,我做工程的时候也是用PLL来分、倍频,只是刚好综合了一个寄存器分频电路,又时序分析了下,发现100M都跑不到,就上来问问啦
      100M对于FPGA来说算中等,不算太高频吧。

      不考虑在系统电路中用div_clk,只是看分频电路,div_clk时序违例,难道真是那几个组合逻辑的问题?
发表于 2011-8-27 08:21:06 | 显示全部楼层
写的这种三分频没什么实际用处,不建议那样用。
发表于 2011-8-27 12:10:23 | 显示全部楼层
001  010  100 三状态
 楼主| 发表于 2011-8-28 14:41:46 | 显示全部楼层
回复 8# zhang2000


  你的意思是写状态机,那不就把简单的问题复杂了么,状态机时序上或许会好些
发表于 2011-9-5 16:15:18 | 显示全部楼层
如果在FPGA中,你要用到时钟negative沿触发,最好先做一个clkb = ~clka,然后将clkb从bufg中走一下,这样你的clkb的延时就会小很多。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-30 21:54 , Processed in 0.031096 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表