在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[原创] [原创] USB3.0软核,打破Xilinx 无USB3.0不足限制  ...2 TimChern 2022-6-16 152633 bt6767ak 2024-4-17 03:47
[原创] 做完了,FPGA的JTAG下载次数限制性软体,庆一下.  ...2 qushaobo 2010-1-21 144043 oceanx 2010-6-25 10:47
[原创] 有个项目,为什么DC综合compile了一两个小时,很不解  ...2 雨打溪风 2010-8-11 144107 犀利哥 2010-9-7 16:46
[原创] Verilog Testbench的结构  ...2 sivar 2010-12-5 144449 jeffej 2015-11-17 14:21
[原创] 工资上万的前辈们,来讲讲你们的历程。  ...2 无言之约 2011-3-2 149055 freeren_liming 2011-3-6 21:36
[原创] 异步fifo的深度,如何确定?  ...2 天天PDF 2011-5-14 149816 Lawee 2011-6-9 13:39
[原创] lx9 试用报告 attach_img  ...2 id1563662788 2011-10-15 1412005 haochen111 2015-1-18 22:05
[原创] 分频时钟的是非  ...2 fengzhepianzhou 2012-8-28 146096 牛玉祥 2016-7-18 11:34
[原创] L2 cache 为什么比L1 cache 便宜  ...2 kim6202 2012-9-19 1411803 yuanpin318 2018-4-22 16:40
[原创] dc 综合策略  ...2 aaronzht 2012-12-1 145247 elec_888 2014-5-27 20:53
[原创] vcs 启动错误  ...2 aaronzht 2012-12-2 149514 swufish 2014-2-8 14:31
[原创] 谁有友晶公司的“Altera DE2-115 教育开发平台”的相关资料  ...2 651192980 2013-8-2 146327 zhanhe 2016-9-9 11:50
[原创] 图形化Verilog编程,大家怎么看? attach_img  ...2 JoyShockley 2014-6-17 149268 edwardk 2018-11-28 10:38
[原创] 用FPGA读取SATA硬盘信息  ...2 yaw2009 2015-12-11 143973 mnkskimo 2016-5-13 02:18
[原创] 国内高速ADC和DAC  ...2 qdk12 2015-12-21 146975 星辰0000 2019-3-11 16:20
[原创] 【明德扬至简设计法系列教程】FPGA毕业设计案例文档教程  ...2 mdykj33 2017-3-15 143316 mdykj33 2017-3-27 17:48
[原创] GTX的时钟设置有所不解,求解答。 attach_img  ...2 qiurijian 2017-6-22 145101 qiurijian 2017-7-5 15:42
[原创] CMOS 比较器学习总结 attachment  ...2 wkp1992101 2019-5-21 144797 greener 2021-11-23 23:36
[原创] 通信IC设计书和源码  ...2 fool123 2019-11-15 144512 yuanpin318 2023-3-8 21:35
[原创] 如何用200Mhz的时钟向20Mhz时钟发送一个使能信号 ?  ...2 american007 2019-12-29 143308 mabin99 2020-5-9 10:05
[原创] 深入理解FPGA加速原理——不是随便写个C代码去HLS一下就能加速的 attach_img  ...2 ccpp123 2020-6-23 144517 zhangxibin3084 2021-10-14 14:56
[原创] 如何学好数字IC前端设计 新人帖  ...2 战绽 2024-3-14 14661 战绽 2024-4-13 16:43
[原创] 【疑问】为什么“近来芯片几何尺寸的减小已经迫使要求更低的逻辑供电电压”  ...2 Kevin_lk 2010-1-23 135828 amormio124 2010-2-10 10:31
[原创] DC安装求助  ...2 xiaoangie 2010-4-21 136695 ksg 2011-1-25 10:18
[原创] 我如何才能将一段视频转化成二进制的文件呢  ...2 gongxiao 2010-6-1 136546 雨打溪风 2010-7-11 23:18
[原创] 自己独立设计一个Usb 内核可能吗  ...2 hongyijia13 2010-12-21 133963 airtd 2011-3-1 19:53
[原创] 求在FPGA中运用浮点核的成功范例 attachment  ...2 zhangtaozt 2011-3-4 134398 zhangtaozt 2011-3-6 20:46
[原创] FPGA中浮点数求余有没有什么好的方法啊?(VHDL)求助! attachment  ...2 zhangtaozt 2011-3-10 135869 leopard520329 2015-10-21 23:34
[原创] FPGA 扩展MAC 做以太网专题(1)--参考实例 基于ALTERA 的3c120 的设计 attachment  ...2 chenpenghjh3 2011-11-13 133677 icqw1983 2014-2-18 17:10
[原创] 请教一个verilog的语法错误  ...2 xiaojigao 2011-11-25 1310055 kobefly225 2011-11-30 09:03
[原创] VCD2VEC perl 脚本  ...2 warmheard 2012-4-3 139268 xieerman1 2022-11-3 08:42
[原创] 个人整理的synopsis设计流程+工具简介 attachment  ...2 767982376 2012-6-4 133933 lijuanwei 2014-1-20 22:02
[原创] V5 PCIe endpoint block plus 仿真问题  ...2 windlx 2012-8-20 135808 ra3d 2014-9-4 19:06
[原创] 关于 XILINX FPGA 中时钟不用时钟管脚的问题 attachment  ...2 supermanqc 2012-9-4 133441 tangganping 2014-5-14 09:26
[原创] AHB slave  ...2 aaronzht 2012-11-19 138147 xwangwang 2014-4-29 10:05
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 23:26 , Processed in 0.023064 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块