在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: zhangtaozt

[原创] 求在FPGA中运用浮点核的成功范例

[复制链接]
 楼主| 发表于 2011-3-6 08:46:50 | 显示全部楼层
回复 10# jackertja


    还请指点啊,jackertja.
 楼主| 发表于 2011-3-6 09:11:16 | 显示全部楼层
回复 9# yshlin2000


    嗯,这倒是个不错的方法!
发表于 2011-3-6 20:11:44 | 显示全部楼层
process(ok_fd)
begin
if ok_fd='1' then
  ce_d<='1';
else
  ce_d<='0';
end if;
end process;

这种代码没什么意义,ce_d就是ok_fd,如果是为了debug时候便于理解,直接ce_d<= ok_fd;就可以了。
----------------------------------------------------------------------
process(clk,ce_d)
begin
if ce_d='1' then
if clk'event and clk='1' then
  if count_d="10" then
   count_d<="10";
  else
   count_d<=count_d+'1';
  end if;
end if;
end if;
end process;
这是希望综合成门控时钟还是什么别的电路呢?count_d是不是只计数一次,之后就永远停在"10"了呢?
----------------------------------------------------------------------
process(op_rfd_a)
begin
if op_rfd_a'event and op_rfd_a='1' then
shuju1_a<=feng_fudian_60;
shuju2_a<=du_fudian;
op_nd_a<='1';
end if;
end process;

这是希望把op_rfd_a作为时钟吗?有什么特别的需求?
op_nd_a永远为'1'有没有问题?
 楼主| 发表于 2011-3-6 20:46:51 | 显示全部楼层
回复 14# jackertja


    嗯,你说得很对呢。我这个IP核只用了一次,如果我想重复利用IP核,这个代码应该怎样写呢?那个operation_rfd怎么用,还是没真正搞懂。我看帮助文档里有这样一个图,它的代码应该是怎样的呢,请指点,谢谢了!
QQ截图未命名.jpg
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 05:13 , Processed in 0.018996 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表