在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (87) |订阅

IC验证讨论 今日: 0|主题: 6579|排名: 16 

[求助] 求助电梯程序 cp0807 2013-5-28 11586 newnewsitter 2013-5-29 18:02
[求助] 请问如何在system verilog 的class里面实现带高阻态的双向I/O??? dennis.zhang 2013-5-29 64533 dennis.zhang 2013-5-29 15:53
[求助] NMOS衬底不接地问题 mengruohan 2013-5-27 33528 mengruohan 2013-5-29 15:39
[原创] skill procedure--- Sort/Stretch the selected paths attachment  ...2 skydreamer 2010-8-14 115305 nareshreddy 2013-5-28 00:40
[求助] 请教systemverilog中类(类中含类)的构造函数执行顺序? augustine 2011-5-12 33623 tjuyfb 2013-5-26 18:29
[求助] 初学SystemVerilog,用于验证 Turbo_Jet 2011-8-16 34893 tjuyfb 2013-5-26 18:17
[求助] SystemVerilog很纠结的一个问题(真的解决不了了吗?都想哭了)  ...2 qiantianyiqian 2011-6-28 186552 tjuyfb 2013-5-26 18:14
[求助] systemverilog dpi simuation 出错,求助 fly_haopp 2011-3-22 611100 tjuyfb 2013-5-26 18:00
[求助] systemverilog training yurenjie 2012-8-22 62297 tjuyfb 2013-5-26 17:16
[求助] SystemVerilog手册中找不到"DPI-C",只有"DPI",怎么回事? leolf 2013-5-7 42187 tjuyfb 2013-5-26 16:17
[求助] 求指教:运行mvtools软件自带的example,出现一个错误 飞扬紫百合 2013-5-25 01487 飞扬紫百合 2013-5-25 15:16
悬赏 [求助] 关于testbench的书写问题 求写测试程序 - [悬赏 10 信元资产] ranshao528 2013-5-24 02720 ranshao528 2013-5-24 15:54
[求助] 求助:关于改变default_sequence的问题 oscillator_cn1 2013-5-23 63702 oscillator_cn1 2013-5-23 13:48
[讨论] 有什么办法控制VCS编译完的simv运行后的返回值吗? duge0413 2013-5-23 01977 duge0413 2013-5-23 11:52
[求助] {求助}请问如何将时钟信号传递到class里面的task呢?? dennis.zhang 2013-5-21 31937 dennis.zhang 2013-5-22 10:12
[求助] 请推荐支持systemVerilog关键字的编辑器 attachment  ...23456 tedazsx 2010-3-4 5616841 crain123 2013-5-21 22:21
[求助] 用systemverilog 验证i2c或者ddr的话,能提高多少效率啊? hongyijia13 2013-5-5 21978 crain123 2013-5-21 22:19
[求助] 求助:UVM为什么只能override而不能overload呢? oscillator_cn1 2013-5-9 11904 crain123 2013-5-21 22:13
[求助] 有人知道:XMRs是什么么 kahn01011 2013-5-21 05784 kahn01011 2013-5-21 16:00
请教几个验证的问题  ...2 225127 2008-7-30 104748 lissee_lissee 2013-5-19 22:36
谁有密码模块随机和伪随机数字发生器统计测试套件(SP800-22) zy_hit 2008-12-13 22418 lissee_lissee 2013-5-19 22:30
求助:VCS代码覆盖率  ...2 babylu1986 2009-4-27 106773 lissee_lissee 2013-5-19 22:23
请问在仿真时如何同时启动verilog写的模块和c写的参考设计 yinmingxue 2009-5-3 43191 lissee_lissee 2013-5-19 22:19
TLM Paper attachment  ...2 wangsheng0415 2007-10-1 134397 lissee_lissee 2013-5-19 22:15
一片Paper attachment  ...23 SkyFirst 2007-9-12 276892 meijingguoyu 2013-5-19 21:54
验证工程师有没有想动的?  ...2 xinjihr 2008-12-16 154495 lissee_lissee 2013-5-19 21:45
信号完整性论文 attachment rong1980 2008-12-27 93529 lissee_lissee 2013-5-19 21:44
[求助] uvm中sequence和sequencer注册咨询!! s19812500 2012-10-10 53596 lissee_lissee 2013-5-19 21:23
[讨论] |=>与|->的区别 drysky 2012-11-27 52190 lissee_lissee 2013-5-19 21:13
[解决] 问问调试过UVM自带的例子 codec的达人们 cgssuccess 2013-5-16 22330 kinglongzh 2013-5-19 16:17
[求助] layout窗口下的calibre里点DRC打不开 hao_0071 2012-2-1 32715 kinglongzh 2013-5-19 16:15
[求助] 做验证的发展道路何在?  ...2 chen.terry 2013-4-7 134224 chen.terry 2013-5-19 10:54
[求助] sentaurus安置之scl10.9.3 解压找不到;linux/bin文件 xt8843 2013-5-18 11652 xt8843 2013-5-19 01:32
[求助] Tanner不会用了,T-spice怎么生成网表,怎么才有波形啊 intelle 2013-5-16 43894 hszgl 2013-5-17 14:36
[招聘] Cisco EBBU部门招聘asic 工程师 asic_wang 2013-5-16 02778 asic_wang 2013-5-16 21:54
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-15 03:27 , Processed in 0.213799 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块