在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6662|回复: 22

epm3128atqfp100-10 i/o口使用请教!

[复制链接]
发表于 2003-9-1 16:01:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
     这是一款3v器件,采用仿效个三态门的方法来控制io口,外接4.7k电阻再接5v
     对于输入信号我处理后,假设是取反输出,我可以用这种方法得到5v输出
    对于经过复杂变换的信号,我采用这种open drain输出的方式确得到的是5v的尖刺,简直一塌糊涂,我郁闷阿,搞了大半天都是这样,特发贴求助
    附open drain.pdf
   希望有经验的同志能帮小弟
     
   

5_281.pdf

202.13 KB, 下载次数: 14 , 下载积分: 资产 -2 信元, 下载支出 2 信元

 楼主| 发表于 2003-9-1 16:03:32 | 显示全部楼层

epm3128atqfp100-10 i/o口使用请教!

3v器件直接推动5v的ttl器件是没有问题的,可是cmos器件就不一定了
发表于 2003-9-1 16:19:31 | 显示全部楼层

epm3128atqfp100-10 i/o口使用请教!

文件打不开?
压缩一下再传上来?
 楼主| 发表于 2003-9-1 16:36:06 | 显示全部楼层

epm3128atqfp100-10 i/o口使用请教!

希望大家帮忙解决问题,先谢谢了

5_281_3.rar

64.67 KB, 下载次数: 4 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2003-9-1 17:11:28 | 显示全部楼层

epm3128atqfp100-10 i/o口使用请教!

为什么不直接调用open drain,而要自己做一个哪?
发表于 2003-9-1 18:01:30 | 显示全部楼层

epm3128atqfp100-10 i/o口使用请教!



下面引用由zhoujj2003/09/01 04:01pm 发表的内容:
这是一款3v器件,采用仿效个三态门的方法来控制io口,外接4.7k电阻再接5v
     对于输入信号我处理后,假设是取反输出,我可以用这种方法得到5v输出
    对于经过复杂变换的信号,我采用这种open drain输出的方 ...

我们就事论是:试着在外部再串一个0~33欧姆的电阻然后再输出,试试看!或许可以
 楼主| 发表于 2003-9-1 19:38:26 | 显示全部楼层

epm3128atqfp100-10 i/o口使用请教!

bravelu,请问如何直接调用open drain?
我只会自己做啊,可是遇到了以上问题
发表于 2003-9-2 00:21:46 | 显示全部楼层

epm3128atqfp100-10 i/o口使用请教!

altera的3000A正好支持open drain。
有一个叫OPNDRN的元件可以调用,直接实现open drain。
 楼主| 发表于 2003-9-2 10:14:51 | 显示全部楼层

epm3128atqfp100-10 i/o口使用请教!

OPNDRN Primitive
See Also  
  Primitives   
  Primitive/Port Interconnections   
  Unused Inputs to Primitives, Megafunctions & Macrofunctions   

--------------------------------------------------------------------------------
AHDL Function Prototype (port name and order also apply to Verilog HDL):
FUNCTION OPNDRN (in)
   RETURNS (out);


VHDL Component Declaration:
COMPONENT OPNDRN
   PORT (a_in : IN STD_LOGIC;
      a_out: OUT STD_LOGIC);
END COMPONENT;
The OPNDRN primitive is similar to a TRI primitive, with a single input and a single output. An OPNDRN primitive fed by a signal called my_signal is equivalent to a TRI primitive whose output enable input is fed by the complement of my_signal, and whose primary input is fed by a GND primitive.
If the input to the OPNDRN primitive is low, the output will be low. If the input is high, the output will be a high-impedance logic level.
When you use an OPNDRN buffer, you must observe the following rules in addition to the rules listed in Primitive/Port Interconnections:
An OPNDRN buffer may drive only one BIDIR. You must use a BIDIR pin if feedback is included after the OPNDRN buffer.
If an OPNDRN buffer feeds logic, it must also feed a BIDIR pin. If it feeds a BIDIR pin, it may not feed any other outputs.
bravelu,对于如何直接调用opndrn我还是不明白,请你写个简单的代码好吗?
谢谢
要加上什么其他文件吗?


 楼主| 发表于 2003-9-2 10:18:41 | 显示全部楼层

epm3128atqfp100-10 i/o口使用请教!

我现在好郁闷,为了这个问题我快搞了2天啦,bravelu帮帮我啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-7 22:08 , Processed in 0.040057 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表