在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3261|回复: 2

[原创] Xilinx FPGA入门连载34:超声波测距终极结果显示之除法器IP解析

[复制链接]
发表于 2015-12-9 12:16:13 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Xilinx FPGA入门连载34:超声波测距终极结果显示之除法器IP解析

特权同学,版权所有

配套例程和更多资料下载链接:

http://pan.baidu.com/s/1jGjAhEm

1.jpg


1概述


在本例程的工程源码distance_compute.v中,例化了一个3个除法IP核。当然了,这3个除法IP核实际上配置完全一样,因此我们在IP核配置时,只要配置一遍即可。这里我们简单的来看看这个IP核是如何创建、配置并使用的。


2新建源文件

打开ISE工程,如图所示,在“Design à Implementation à Hierarchy”中的任意位置单击鼠标右键,弹出菜单中选择“New Source..”。

2.jpg

在“New Source Wizard”中,做如图所示的设置。


Select Source Type”中选择新建文件类型为“IP(CORE Generator & Architecture Wizard)”。


File name”即文件名,我们命名为“div”。


Location”下面输入这个新建文件所存放的路径,我们将其定位到工程路径下的“ipcore_dir”文件夹下。


勾选上“Add to project”。

3.jpg


完成以上设置后,点击“Next”进入下一步。


3IP选择


在“Select IP”页面中,如图所示,我们在“Viewby Function”下面找到“Math Functions à Dividers à Divider Generator”,单击选中它,接着点击“Next”进入下一步。

4.jpg


如图所示,弹出“Summary”页面后,点击“Finish”即可。

5.jpg


4乘法器配置


Divider中,只有一个配置页面,如图所示。


被除数(dividend)、除数(divisor)和商(quotient)的位宽都设置为“16”。


余数(Remainder Type)的输出类型即余数(Remainder)。


Operand Sign”为无符号数(Unsigned)。

6.jpg


直接点击“Generate”生成配置好的IP核即可。


5例化模块


Generate”完成后,如图所示,我们可以在“Hierarchy”中选中div.xco即刚刚产生的IP核模块,然后双击打“Processes”下的“View hdlInstantiation Template”查看IP核的例化模板。

7.jpg


例化模板打开如图所示,矩形区域内的代码我们复制到工程源码中,对“(  )”内的接口做好映射,就可以将其集成到我们的设计中。

8.jpg


如下代码所示,在我们的设计中,除法器被例化了3次,注意这里的3次例化,代表了实际上就有3个完全一样内部结构的乘法器实现在了设计中,而不是软件上函数调用的仅仅只有一个函数、只占用一个函数代码空间的情况。

9.jpg





发表于 2018-12-19 14:45:19 | 显示全部楼层
学习中。。。。。
发表于 2018-12-24 13:51:26 | 显示全部楼层
学习中。。。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 16:57 , Processed in 0.024483 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表