在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2264|回复: 0

[原创] 例说FPGA连载38:DDR控制器集成与读写测试之FPGA片内RAM概述

[复制链接]
发表于 2016-10-12 17:11:50 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
例说FPGA连载38DDR控制器集成与读写测试之FPGA片内RAM概述

特权同学,版权所有

配套例程和更多资料下载链接:

http://pan.baidu.com/s/1c0nf6Qc

1.jpg


我们所使用的Cyclone IV系列FPGA器件内嵌丰富的M9K存储器,M9K存储器支持以下特性。

每个M9K存储块有8192 bits的存储量。

每个端口拥有独立的读使能和写使能信号。

可变的端口配置。

所有位宽都支持单端口或者双端口模式。

每个端口都有可选的时钟使能信号。

RAMROM模式下可以初始化预加载存储数据。

本实例我们要用Cyclone IV的片内存储器配置一个RAM。如图4.2所示,这是单端口RAM模式下的接口示意图。当然了,并非这里所罗列的所有接口都一定要用到,除了一般性的写入数据总线data[]、地址总线address[]、写使能信号wren、写时钟inclock(可以和outclock共用同一个时钟)、读出数据总线q[]这几个接口外,其他接口都是可选接口,可以根据用户实际应用需求添加或删除。

2.jpg

4.2 RAM接口示意图





您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 15:25 , Processed in 0.038359 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表