在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 8520|回复: 6

[求助] 时钟同步

[复制链接]
发表于 2013-7-18 13:52:09 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
用代码来同步。clk1是100M,clk2是500K。代码如下:
reg clk_sys;
always @ (posedge clk1)
begin
    clk_sys <= clk2;
end
这样子,用clk_sys来当500K的时钟使,挺好的。
看到一位网友这样做的,不知道行不行,有人解释一下吗!!
发表于 2013-7-18 15:36:54 | 显示全部楼层
可以,这个频率低,直接用寄存器输出当时钟用没问题。
或者有更通用方法,
always @(posedge clk1)
if(clk2 == 1'b1 && clk2_d == 1'b0)
q <= d;
发表于 2013-7-18 16:01:31 | 显示全部楼层
clk_sys和clk2同频,但相位不同。


                               
登录/注册后可看大图
发表于 2013-7-21 10:17:55 | 显示全部楼层
clk1 和 clk2是同源的吗,不然可能会存在亚稳态问题?
发表于 2013-7-22 15:12:07 | 显示全部楼层
这个你要看两个时钟的由来了
发表于 2013-7-22 16:58:43 | 显示全部楼层
比较山寨的做法,不建议使用。
发表于 2013-7-22 21:41:38 | 显示全部楼层
直接使用clk2不更好?为啥这么做?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 07:14 , Processed in 0.032534 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表