在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5703|回复: 9

[求助] DC综合时序问题

[复制链接]
发表于 2012-10-22 09:16:52 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教各位一个低级的问题,麻烦大家有空帮我分析一下,先谢谢哈!
       我现在用dc进行综合,采用top-down的方法综合时报了很多setup违例;但是当我对存在setup的module单独综合、而且使用同样的约束,时序完全能够满足。不知道是负载的原因还是其他的?
     请各位帮我分析一下,该如何解决此类问题?
   谢谢!!!
发表于 2012-10-22 09:29:24 | 显示全部楼层
fanout, wire load都是原因
如果使用DCT,或者选择auto wire load model selection的话,就算是相同的script,如果module不同,其中的wire delay也是不同的
 楼主| 发表于 2012-10-23 08:46:30 | 显示全部楼层
我用的是中芯国际013um工艺,我的约束设置大致如下
set_wire_load——摩德利-那么“reference_area_1000000" -library smic13_ss_1p08v_125c
set_wire_load_mode  tope
set_max_area   0
set_load   0.5  [all_outputs]
set_fanout_load    20  [all_outputs]

set_max_transition  1.1   [get_desgins *]
set_max_capacitance  4  [get_designs  *]
set_max_fanout    20 [all_inputs]
不知道以上设置的大小是否合适(设计的代码里有很多类似于总线的变量、端口,他们都包含许多位),麻烦各位有空帮我看看?
谢谢!
 楼主| 发表于 2012-10-23 08:48:35 | 显示全部楼层
刚才输错了几个字,现更正如下:
我用的是中芯国际013um工艺,我的约束设置大致如下
set_wire_load_model “reference_area_1000000" -library smic13_ss_1p08v_125c
set_wire_load_mode  tope
set_max_area   0
set_load   0.5  [all_outputs]
set_fanout_load    20  [all_outputs]

set_max_transition  1.1   [get_desgins *]
set_max_capacitance  4  [get_designs  *]
set_max_fanout    20 [all_inputs]
不知道以上设置的大小是否合适(设计的代码里有很多类似于总线的变量、端口,他们都包含许多位),麻烦各位有空帮我看看?
谢谢!
发表于 2012-10-23 20:44:16 | 显示全部楼层
同问。还是不明白啊。
 楼主| 发表于 2012-10-25 16:11:48 | 显示全部楼层
这几天没有时间做实验,不知各位飘过的大侠,有何高见!!
发表于 2014-3-24 23:27:32 | 显示全部楼层
回复 6# edayz

请问你帖子里面的这个问题解决没有?我也遇到了跟你类似的问题,具体见帖子http://bbs.eetop.cn/viewthread.php?tid=440018&highlight=
发表于 2014-3-25 21:15:11 | 显示全部楼层
具体问题具体分析,先检查你的顶层sdc吧,特别注意clock和reset的约束
 楼主| 发表于 2014-6-11 17:01:54 | 显示全部楼层
尝试改变fanout load capacitance
发表于 2019-10-4 15:42:17 | 显示全部楼层
求解答
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 16:36 , Processed in 0.029416 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表