在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3596|回复: 3

[求助] synplify综合网表逻辑与rtl设计不一致

[复制链接]
发表于 2012-10-11 09:38:24 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在用synplify_premier综合设计的时候,没有添加任何约束,综合过程中没有错误(4000+警告,没有仔细看),逻辑也没超出所选FPGA芯片的限制(75%),使用其生成的.vm网表进行仿真时发现有些功能没有实现,追查网表后发现生成的逻辑和rtl设计的逻辑不同,倒不是说简单的前仿真和后仿真结果不一致,举两个例子如下:1.时钟选择部分没起作用,直接将其中一个时钟作为时钟源提供给后级使用,没有看到有做时钟选择的迹象;
2.生成了奇怪的逻辑,使用慢时钟去采样快时钟,产生一个控制信号,然后提供给后一级使用;
3.rtl设计中有调用fpga的pll资源(fpga型号为spartan6),调用时pll只有一个时钟输入和一个时钟输出端口,在网表中发现该模块的输入
   和输出端口有很多,而且pll还会产生一个控制信号,作为后面很多逻辑的CE输入,而且这个信号还一个为0,导致后面逻辑功能无法启动。。。

期待大家给出建议!!!
发表于 2012-10-11 10:36:57 | 显示全部楼层
回复 1# housong

把它的某些高级功能去掉试试,比如“fix gated clock","fix generated clock"
 楼主| 发表于 2012-10-12 15:34:04 | 显示全部楼层
回复 2# misrig001

嗯,试了一下,这个方法行得通,谢谢啦!

我这个是使用了工作站上的synplify做的综合,v12左右的版本吧,用的synplify_premier做的综合,
后来又试了试本机上的2011的synplify,即使没有关掉上述的高级选项,综合出来的结果也是正确的,郁闷了。。。


被这个问题卡了好久了,板子太小了,哎。。。
发表于 2012-10-16 19:50:37 | 显示全部楼层
把它的某些高级功能去掉试试,比如“fix gated clock","fix generated clock"
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 14:08 , Processed in 0.023561 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表