在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: damonzhao

[讨论] 后端基本概念讨论专用贴

[复制链接]
发表于 2013-3-21 09:33:40 | 显示全部楼层
(1)、对于综合一开始我们需要target library和link library这个问题,我想请教一下,关于这个工艺角很多时候看得到一些前辈只用最差的情况,而有些前辈又会使用最差和最好两种情况,我想问问,这个在项目中应该如何去设定或者衡量?因为这个也是一个估算情况所以关于这个的设定对于后面综合完成后的结果会不会有什么不同?望指教~
(2)、对于set operation conditions这个的设定,现在一直使用的是“set_operation_conditions -analysis_type on_chip_variaton -library [get_libs {xxxx.db:xxxx}] -min xxx -max xxx”,也有看到一些项目里会去掉-analysis_type on_chip_variaton 这样的选项,这个也希望前辈能讲解一下~
(3)、对于set_wire_load_model 这个的选择一般选择在什么范围可以?是越小越好吗?
(4)、对于设定driving_cell器件,这个选择有什么需要考虑的呢?我看很多项目里都将这个设置在BUFX2或者BUFX4这个范围内?
(5)、对于时钟很慢的设计中如只有1MHz这样的情况,clock -priod 1000,这样情况下的latency、uncertainty、transition设定在什么范围比较好呢?因为论坛里有些大侠是说了一些经验值什么70%啊、30%啊什么的,但是如果像时钟周期很长的如本例中的1000又该如何去考虑和设定呢?补充如果工艺在.25um下
(6)、对于input delay、output delay、input transition、output transition一些关于input、output的设定对于我们Bankend人员可以向项目负责人那边询问些什么有用的值,比如像pad上的一些什么参数,然后根据这些我们又能怎么去设定呢?这个问题还望大神多多指点
(7)、最后想问问,我综合出来后用DC自带的时序检查工具查出来hold违例有很多,而且时序还是slack -4.24,想知道在综合时如何去修hold?之前用过set_fix_hold [list clk]因为设计中只有一个clk时钟,所以这样的方式并不是太理想,还望前辈能多给点儿建议
发表于 2013-3-23 10:56:25 | 显示全部楼层
占着,看看看!
发表于 2013-3-23 16:13:55 | 显示全部楼层
OD指什么?
发表于 2013-3-23 16:23:01 | 显示全部楼层
再补充几个问题:
1.Memory的出PIN一定要加BUFF吗?(听说一般的Memory驱动不足,故要加BUFF,如果是40lp工艺,加多大的BUFF呢,依据是什么?)
2.如何理解Logic_level?(是指cell的级数吗?)
3.fp_placement的意义何在?
4.听说多核STARRC,精度会降低,有这回事?(如果有,为什么)
发表于 2013-3-23 17:01:35 | 显示全部楼层
sealringCorner 指什么?
发表于 2013-3-23 17:02:51 | 显示全部楼层
DFT中AT-speed与full-speed分别指什么?有什么区别?
发表于 2013-4-12 12:52:18 | 显示全部楼层
不如把一些有价值的帖子整理出来。
发表于 2013-4-24 14:57:04 | 显示全部楼层
版图设计时Guard Ring是指什么?作用是什么?怎么添加?
版图设计加滤波电容又是怎么回事?什么时候什么地方怎么添加滤波电容?有遵循的原则吗?
发表于 2013-4-25 23:47:08 | 显示全部楼层
问个简单的,但是我找不到答案的……

To greatly simplify the synthesis flow, and also achieve the best possible QoR
QoR是什么?
发表于 2013-4-26 00:24:24 | 显示全部楼层
太谢谢你了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-17 19:22 , Processed in 0.034632 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表