在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3637|回复: 5

[讨论] dc bus_name问题

[复制链接]
发表于 2012-7-4 21:36:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
想把RTL代码中如a[1]在DC综合后的门级网表为a<1>,结果修改Tcl如下:
综合前define_name_rules verilog -allowed "A-Z a-z 0-9 _" -first_restricted "0-9 _ \ []"   -target_bus_naming_style {%s<%d>}
综合后change_names -rules verilog -hierarchy
write  -format verilog -hier -output $path/XX.v
查看网表,a[1]改成了a<1>,但是出现了如下情况:
module registerInterface ( clk, rst, .addr({\addr<7> , \addr<6> , \addr<5> ,
        \addr<4> , \addr<3> , \addr<2> , \addr<1> , \addr<0> }), .dataIn({
        \dataIn<7> , \dataIn<6> , \dataIn<5> , \dataIn<4> , \dataIn<3> ,.......)
\addr<5> 多出了\,可是define_name_rules中禁止出现 \ 了,是不是还有约束命令
而且在ICC做floorplan中报
INFO: net in moduleXXX renamed from BYTE4<6> toBYTE4<6>1 because of name conflict
还有会出现大量的pin unconnect,不知道是不是命名的原因?
发表于 2012-7-4 22:33:41 | 显示全部楼层
有一组bus_style的设定可以满足你的要求
 楼主| 发表于 2012-7-5 11:36:33 | 显示全部楼层
回复 2# 陈涛

谢谢陈老大
我在DC里找到另外一个指令set bus_naming_style {%s<%d>},不知还有其他指令吗?
DC manu里也没找到,不好意思,只能麻烦老大了
发表于 2012-7-5 15:44:52 | 显示全部楼层
主要就是这个,如果还不满意,去“Variables and Attributes”手册里面找这个设定的解释,同时注意“see also”下面提到的其他相关设定
 楼主| 发表于 2012-7-6 22:34:29 | 显示全部楼层


这个问题我现在也没有完全明白,希望碰到过的人具体回答下吧。
我最后按照开头说的,仍然会有\,不过对P&R没有影响,因为时间关系,现在只能放过去了。
发表于 2013-3-2 16:01:03 | 显示全部楼层
楼主的问题是怎样解决的,能否分享一下
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 10:46 , Processed in 0.027368 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表