在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3546|回复: 13

[求助] DC综合,如何让它识别4值逻辑?

[复制链接]
发表于 2016-6-8 16:05:09 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
现在是 有个case语句的 ,有4个主要情况00,,01,11,10.然后default执行的是自动纠错,加入case到了0x,x1这种的,就会自动回到00状态。经过dc综合,这个default被优化掉了,警告说是默认branch无法达到。
请问,怎么设置dc可以保留代码这个功能啊?
 楼主| 发表于 2016-6-8 16:21:53 | 显示全部楼层
还有。。。有没有什么综合工具认可4值逻辑?
发表于 2016-6-8 16:47:48 | 显示全部楼层
两bit的数字信号译码,本来就只有00,01,10,11四种case的分支情况,你已经列全了,自然不存在default分支了。所谓的default,意思就是当出现已列分支以外的情况时如何如何……
1.
case(a[1:0])
     2'b00 : xxx = b0;
     2'b01 : xxx = b1;
     2'b10 : xxx = b2;
     2'b11 : xxx = b3;
endcase

2.
case(a[1:0])
     2'b00 : xxx = b0;
     2'b01 : xxx = b1;
     2'b10 : xxx = b2;
     default : xxx = b3;
endcase

3.
case(a[1:0])
     2'b00 : xxx = b0;
     2'b01 : xxx = b1;
     2'b10 : xxx = b2;
     2'b11 : xxx = b3;
    default: xxx = 0;
endcase

以上三种写法,得到的电路是一模一样的。方式3中,全译码了,还加上default其实没有任何作用,语法不会报错,但综合出的电路是得不出default这个分支的
 楼主| 发表于 2016-6-8 17:11:08 | 显示全部楼层
回复 3# 杰克淡定


大神。此处是9值逻辑。前仿我删掉了default之后,modelsim报错说我的状态覆盖了81 states of 4.查了一下0,1,z,x,l,w,h...9值逻辑。
他们给项目的人写的这代码很坑,根据仿真表明,初始阶段状态机一定会调到不定态,就需要这个others来纠错。现在综合工具给优化掉了,他不认可0和1之外的。
发表于 2016-6-8 17:19:09 | 显示全部楼层
你case条件信号是几个bit的?z,l,w,h这些是什么东西,这都是不可综合的。
 楼主| 发表于 2016-6-8 17:26:26 | 显示全部楼层
回复 5# 杰克淡定


   2bit。但是根据代码的功能,这个x态一定会出现,也就是,代码需要4值逻辑。
发表于 2016-6-8 17:39:29 | 显示全部楼层
回复 6# petrel87

2bit的数字信号只会有00,01,10,11四种情况,这是数字电路啊,哥们!1bit的数字信号只有0,1两种值,别再扯X,Y,Z之类的了,这是整个数字电路的设计根基!记住你讨论的是数字电路,数字电路,数字电路!重要的事情说三遍!
 楼主| 发表于 2016-6-8 18:36:26 | 显示全部楼层
本帖最后由 petrel87 于 2016-6-15 15:32 编辑

回复 7# 杰克淡定

大神。代码是合作方写的,我们给人家检测。这是我注释掉了others...


这是Modelsim报错。。。
2.jpg
发表于 2016-6-9 09:55:16 | 显示全部楼层
回复 7# 杰克淡定

X/Z 在芯片内部,非0即1.
 楼主| 发表于 2016-6-9 10:25:57 | 显示全部楼层
回复 9# yaya126


   大神,if link=0  then   state<"00"这样的语句,如果link是不定态,是不是就引起state不定态?
然后如果没遇到rst信号到来,是不是state就无法跳出不定态了?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 22:17 , Processed in 0.036480 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表