|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
DC中关于定义时钟树的写法,有几个问题:
1. set_clock_latency ,set_clock_uncertainty,set_clock_transition的值该如何设置,有什么经验值参考?
create_clock -name CLK -period 18 -waveform [list 0 9] [find port "CLK"]
set_clock_latency 1 [get_clocks CLK]
set_clock_uncertainty -setup 2.0 [get_clocks CLK]
set_clock_transition 0.1 [get_clocks CLK]
set_dont_touch_network [list CLK HRESET]
2.我有一个CLK_REG,是由CLK计数得到的,它会驱动很多DFF,我需要把它也做时钟数吗?
还要给它设置驱动吗?
create_generated_clock -name CLK_REG -source CLK -divide_by 17 [get_pins bus_top_pll1_ins/intf_spi_pll1_ins/SPIWERD]
3.摸块内部有2根线,(rst_n和CAL_RESETN)会分别给很多DFF异步置位,负载很大,我怎么样才能给它们也做个网络,就向HRESET?
我现在是把它们和HRESET一样对待,但综合结果有violationg,这些错误该如何修改?
Net: selfcal_top_ins/CAL_RESETN (dont_touch)
max_transition 2.27
- Transition Time 25.02
------------------------------
Slack -22.75 (VIOLATED)
Net: selfcal_top_ins/CAL_RESETN (dont_touch)
max_capacitance 0.03
- Capacitance 0.33
------------------------------
Slack -0.30 (VIOLATED)
get_ports bus_top_pll1_ins/intf_spi_pll1_ins/rst_n
get_ports selfcal_top_ins/cal_start_ins/CAL_RESETN |
|