在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 8986|回复: 20

[求助] 向各位牛人请教:ALTERA FPAG 复位信号是高电平好还是低电平好?

[复制链接]
发表于 2010-12-16 18:55:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问各位牛人:ALTERA FPAG 复位信号是高电平好还是低电平好?
谢谢!
 楼主| 发表于 2010-12-16 21:00:31 | 显示全部楼层
牛人们出来冒个泡吧!
发表于 2010-12-17 23:09:48 | 显示全部楼层
無所謂吧,這個應該和FPGA沒關係,應該和你的邏輯相關的
发表于 2010-12-19 22:33:38 | 显示全部楼层
好像都是低电平复位吧。。。。。
 楼主| 发表于 2010-12-19 22:34:42 | 显示全部楼层
回复 3# acgoal

低电平复位时,我们一般要这样写:always@(posedge clk or negedge reset_n)
                                             if(!reset_n)
                                            begin
                                            .............
                                            end
                                            else
                                             begin
                                            .....................
                                             end

高电平复位时,我们一般这样写:always@(posedge or posedge reset)
                                           if(reset)
                                            begin
                                            .............
                                            end
                                            else
                                             begin
                                            .....................
                                             end
这样综合出来的结果没有区别吗?
发表于 2010-12-20 15:44:38 | 显示全部楼层
期待高人回答!期待大虾出现解答,谢谢!
 楼主| 发表于 2010-12-20 22:10:15 | 显示全部楼层
期待大侠!!!
发表于 2010-12-20 22:34:28 | 显示全部楼层
看看你的IP核,Xilinx的IP核都是高复位,自己的逻辑一般也就高复位(比如fifo等),保持一致,设计比较清晰。不常用Alter,如果ALTER的IP核默认的复位是低电平,你逻辑里的复位最好也是低。这样就不用再取反得到复位
发表于 2010-12-20 22:49:28 | 显示全部楼层
一般版级上的都是低复位
 楼主| 发表于 2010-12-21 23:09:26 | 显示全部楼层
谢谢!!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 11:57 , Processed in 0.027482 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表