在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2886|回复: 8

[求助] 请教:关于DC综合的问题

[复制链接]
发表于 2010-3-31 19:12:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在DC中
set_input_delay  10 [all_inputs] -clock [get_clock CLK_S]
是对于所有的以CLK_S为时钟的触发器输入的约束,输入延时10ns。
以上是对于只有一个时钟的情况的约束,
但是,如果TOP层里有2个周期不一样的时钟,比如 CLK_A 和CLK_B

[all_inputs]  已经不能用了吧? 因为不是所有的输入信号时钟不一样了
请问应该怎么样用脚本约束?
谢谢
 楼主| 发表于 2010-4-1 09:28:08 | 显示全部楼层
哈哈哈 大家看下
发表于 2010-4-4 16:33:37 | 显示全部楼层
set_input_delay  10 [get_ports A] -clock [get_clock CLK_A];
set_input_delay  10 [get_ports B -clock [get_clock CLK_B];
红色处的A和B是相对于时钟CLK_A 和CLK_B
的输入。
不知道这样行不行
发表于 2010-4-6 21:38:05 | 显示全部楼层
如果你的tcl用的好点的话,很容易解决
发表于 2010-4-17 01:03:46 | 显示全部楼层


set_input_delay  10 [get_ports A] -clock [get_clock CLK_A];
set_input_delay  10 [get_ports  B -clock [get_clock CLK_B];
红色处的A和B是相对于时钟CLK_A 和CLK_B
的输入。
不知道这样行不行
qq847941010 发表于 2010-4-4 16:33


这样是正确的,2个时钟域之间有伪路径需要处理,约束
发表于 2011-3-1 14:58:39 | 显示全部楼层
set_clock_group -logically_exclusive
发表于 2011-3-1 15:41:46 | 显示全部楼层
两个时钟之间如果有数据传递可以设置
set_false_path  from clk1 to clk2

set_false_path  from clk2 to clk1

语法不一定正确,好久不写TCL了
发表于 2011-3-1 18:46:51 | 显示全部楼层
thanks
发表于 2011-6-29 16:11:54 | 显示全部楼层
看不懂
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 13:44 , Processed in 0.028699 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表