|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
请问下面程序中时钟块里面 out2 = out3;是什么意思???是赋值还是同步???
program p1(output reg out3,input logic clk,input reg in );
clocking cb @(posedge clk);
output #3 out2 = out3; //CB output signal
input #0 out1 = in;
endclocking
initial
#200 $finish;
initial begin
$display($time,,,cb.out1);
cb.out2 <= 0; //driving output at "0" time
@(cb.out1); //sampling input for change
$display($time,,,cb.out1);
#100;
$display($time,,,cb.out1);
cb.out2 <= 1; //driving o/p at posedge of clk
@(cb.out1);
$display($time,,,cb.out1);
end
endprogram |
|