在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[原创] 可综合的快速除法器的设计verilog zhangbinbin92 2015-4-13 64959 huster 2016-12-14 17:00
[原创] RTL代码时钟分析 fengzhepianzhou 2011-11-30 74905 liangdebo 2011-12-5 10:53
[原创] Cadence包括IC617在内的全套新版工具套件(2015年底版) min4max 2016-1-17 74978 tested 2023-9-3 09:06
[原创] 5年IC验证经历如何发展,希望能与大家交流  ...2 qingyou28 2010-6-5 164961 MOSFET 2011-5-18 11:08
[原创] UART采样时钟精度为正负15%,有可能工作么?  ...2 atlandis 2013-7-17 134909 sasso 2014-7-21 12:52
[原创] SV中如何保存随机信号源?  ...23 huhululu1 2010-6-28 244918 huhululu1 2010-6-28 20:27
[原创] 求各位好心人的建议...  ...2 dtww 2011-3-5 194905 fenglouto 2011-4-13 17:42
[原创] xilinx FPGA 中GTX或GTP可否级联? 天天PDF 2011-7-19 24926 newliujian 2011-7-20 16:49
[原创] SpyGlass_MoreLintRules_Reference attachment  ...2 kevin.yang0922 2021-6-9 164923 dreamfly123123 2024-1-23 17:33
[原创] 不可忽视的verilog零延迟 attach_img jackiexiuyi 2018-3-15 64910 glace12123 2018-3-19 22:04
[原创] CMOS 比较器学习总结 attachment  ...2 wkp1992101 2019-5-21 144892 greener 2021-11-23 23:36
[原创] fpga 芯片价格查询网站 attach_img weizhiheng 2011-7-17 84862 weizhiheng 2011-12-18 09:58
[原创] 当电子遇到工业设计 attach_img ericxmg 2010-9-7 04908 ericxmg 2010-9-7 12:22
[原创] 好奇问下,核,会被逆向破解吗?  ...23 orientview 2022-11-7 224851 xiaoxiaochen 2024-5-8 14:07
[原创] 小总结一下verilog的位宽与数据转换 yifenqian 2016-3-4 44801 plplpo 2024-5-6 19:57
[原创] ISE9.1教程 attachment  ...23 haisking 2010-4-23 234838 lsight 2010-6-10 14:25
[原创] 跨时钟域的异步信号交互问题讨论 KevinIC 2012-7-26 54795 eyeloveu 2013-8-13 09:09
[原创] 大家一定要记得Vopt Flow = 0 啊,给破解modelsim的同志 troy198901 2012-10-30 04823 troy198901 2012-10-30 00:28
[原创] FPGA 家教  ...2 turion2008 2010-3-25 114825 wang_wangrui64 2018-11-22 10:40
[原创] EPM240+SDRAM的500w像素拍摄方案,搭配stm32f103控制 attach_img 整鼓猩 2015-11-4 04872 整鼓猩 2015-11-4 23:03
[原创] 基于FPGA的AD/DA采集(附件代码原码) attachment xuehua_12 2018-11-13 84775 finelei2002 2024-2-20 17:43
[原创] ISE13.4开始支持SystemVerilog了么? attach_img  ...2 goalyoung 2012-5-5 114867 最后的和弦 2013-1-11 09:24
[原创] 用create_generated_clock时,其-source是否只能为主时钟,不能为另一个子时钟 caesars82 2010-2-23 54855 superviky 2010-10-16 23:29
[原创] 请教xilinx V6如何I2C输出? atlandis 2013-5-23 94786 atlandis 2014-7-17 17:40
[原创] 怎样改变FPGA输出管脚的电压值? wanghao2202 2012-7-9 14796 como19860708 2012-7-9 18:11
[原创] 求教数据周期问题  ...2 liuxiantao11 2010-11-24 104753 liuxiantao11 2010-11-30 14:23
[原创] 紧急找FPGA资深工程师一名,预计25-30万(上海)  ...2 KT胡运旺 2010-3-3 114736 chit_wps 2010-4-1 14:11
[原创] FPGA中非门组成的环形振荡器问题 longjilb 2011-10-12 64816 bukubuku 2011-10-15 08:51
[原创] verilog编辑器 配置 实时语法检查 attach_img my2817 2019-4-10 64793 besarkecil 2020-12-4 23:13
[原创] 时钟域  ...2 liuxiantao11 2010-8-31 104760 wangjunweiair 2010-10-26 20:09
[原创] 大家对XILINX MICROBLAZE 了解怎么样 ut1000 2013-2-25 04754 ut1000 2013-2-25 11:28
[原创] 《通信IC设计》的部分样张以及目录,欢迎给出宝贵意见 attachment  ...2 劲华 2016-5-21 134730 masaka_xlw 2019-12-18 08:03
[原创] 求购usb 2 otg painter_jia 2009-12-30 24673 aguilzu00 2010-2-10 00:08
[原创] primetime DMSA 杀猪的日子 2011-11-21 24684 optihack 2012-4-26 04:40
[原创] 求内存高手解释下LPDDR4和DDR4的差别 tchell 2016-6-3 04704 tchell 2016-6-3 22:49
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 16:56 , Processed in 0.025252 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块