在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[原创] 请教FPGA的IP core attachment  ...2 atlandis 2014-1-16 113111 atlandis 2014-1-19 14:55
[原创] I2C的FPGA连接  ...2 atlandis 2014-7-17 116327 wgej1987 2014-7-18 15:24
[原创] sdram问题讨论  ...2 ye_hong_lei 2014-8-7 113434 shafei 2014-8-10 17:06
[原创] 上海芯原 笔试题 设计实现工程师  ...2 liuqh_yz 2014-10-8 115458 诠释幸福 2016-9-9 09:22
[原创] 明德扬课程分享之-signaltap的使用技巧  ...2 明德扬科教 2015-8-8 113308 chenxl1990 2015-11-10 08:41
[原创] 用Vivado Block Design搭积木 attach_img  ...2 steeven_lee 2017-3-5 1116205 maxim0720 2018-4-10 12:30
[原创] FPGA设计技巧之常用模块划分 attachment  ...2 chop147 2017-5-15 112684 iNostory 2018-5-27 17:03
[原创] 给每天忙碌上班的技术男们分享一些我的经验 attach_img  ...2 dodoee 2020-4-25 113843 dodoee 2022-4-9 10:24
[原创] 《SystemVerilog硬件设计及建模》 attachment  ...2 艾艾小阳 2021-4-12 114222 peinsc 2021-10-12 13:44
[原创] fpga内不同频率的时钟之间数据的传递?不用fifo如何实现  ...2 american007 2021-4-14 113045 芯星 2021-5-26 10:33
[原创] 可视化EDA开发工具 attach_img  ...2 micbot 2021-9-8 113871 Johnmc104 2021-9-16 15:51
[原创] ARM架构参考手册ARM V9 attachment  ...2 NeverLazyThu 2022-4-10 112860 cnanren 2024-3-16 09:49
[原创] CIC滤波器输出截位的问题 新人帖  ...2 芯路 2023-3-21 112874 芯路 2023-3-28 11:52
[原创] 有从事High-level Synthesis方面工作的吗?  ...2 yechangan 2010-1-20 107404 xiaobing2003 2011-3-15 09:45
[原创] 【求救】简单自动售饮料机 哪里错了?  ...2 silencefpga 2010-5-19 104311 hnulht789 2016-8-10 16:32
[原创] fifo depth 计算  ...2 zhouzhiping849 2010-6-18 106164 杀猪的日子 2014-11-15 11:58
[原创] 小结设计经验(二),请各位高手指点  ...2 dfzhch 2010-8-14 104425 sfjrichard 2010-8-23 10:52
[原创] 时钟域  ...2 liuxiantao11 2010-8-31 104759 wangjunweiair 2010-10-26 20:09
[原创] DFT问题求解!!!!!!!!!!~~  ...2 雨打溪风 2010-9-20 104529 kangxiao 2010-12-31 11:30
[原创] FPGA开发全套视频教程  ...2 saleslhzk100 2010-10-16 105050 ericwhw 2010-11-29 15:57
[原创] 一个 关于Clock Gating 的典型问题求助!!  ...2 orinoflow 2010-10-25 105525 雨打溪风 2010-11-17 20:39
[原创] 请教下如果寄存器的时钟端用普通信号代替,在DC前端该怎么设置? attach_img  ...2 tntdog 2010-11-15 104300 qqqyb 2010-11-20 01:22
[原创] 求教数据周期问题  ...2 liuxiantao11 2010-11-24 104753 liuxiantao11 2010-11-30 14:23
[原创] always 结构中敏感列表  ...2 天天PDF 2011-5-25 1012390 wxxhn 2011-9-2 14:36
[原创] 请教synopsys的90nm EDK library的问题?  ...2 lubee2011 2011-5-28 108226 奇奇加油 2022-4-6 19:47
[原创] fpga 与 asic  ...2 潶崎一护 2011-6-3 103699 fengqing1012 2011-6-12 21:58
[原创] 好久没来论坛了,还是求助的多啊 digest  ...2 RemyMartin 2011-7-26 108001 wicoboy 2012-10-30 01:31
[原创] 关于FPGA职业 的一些提问  ...2 suxilong 2011-8-17 104586 lvlv2011 2011-8-19 12:43
[原创] 求助,为什么我的modelsim编译总出现near EOF的错误  ...2 tangyutao 2011-10-7 106548 tangyutao 2011-10-9 19:53
[原创] 高手看过来:Altera中数据写入RAM时的时钟有效沿 attach_img  ...2 zhinvxing 2011-10-19 1011694 max_max 2011-10-27 18:23
[原创] 外部reset信号去glitch  ...2 杀猪的日子 2011-10-24 106276 catcat_2 2011-10-26 16:36
[原创] altera官网出中文论坛了  ...2 shiyinjita 2011-11-2 1019105 battyson 2016-3-1 20:11
[原创] 几条综合命令的点点讨论 digest  ...2 jun_dahai 2012-1-4 107123 yxccc1108 2018-6-29 00:31
[原创] 请教一下,serdes和gtx是什么关系  ...2 lantice0001 2012-2-5 108191 荒漠小草 2019-7-9 21:03
[原创] 请教verilog做asic设计,如何取余数  ...2 atlandis 2012-8-1 1013736 zsy5460 2019-5-20 14:11
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 15:01 , Processed in 0.024639 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块