在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: damonzhao

[讨论] 后端基本概念讨论专用贴

[复制链接]
 楼主| 发表于 2013-7-23 09:20:49 | 显示全部楼层
回复 232# qioip

1. 看foundry的IO的datasheet、

2. ICC的signoff drc用到了hercules做验证的,自身的只是根据tf的rule进行连线的check。最终signoff检查还是calibre比较全面。
发表于 2013-7-23 12:29:02 | 显示全部楼层
回复 233# damonzhao


   非常感谢,谢谢版主。
发表于 2013-7-24 11:17:25 | 显示全部楼层
capture clock 和 lunch clock 都是什么意思? 额 不是字面意思 是做后端时候怎么解释啊?
发表于 2013-8-8 22:56:44 | 显示全部楼层
我想知道:
1. 怎样确定design需要的是哪个technology file?
2. 运行astro时需要reference library,这个library可以理解为是standard cell & IO & IP 的library么?
    如果没有这些reference library怎么办?这些library是由foundary提供的么?
发表于 2013-8-12 15:47:22 | 显示全部楼层
回复 235# 望月春雪

前一级的时钟是launch clock,后一级的时钟是capture clock。这两个概念用在STA时序分析中,用来分析时序违反。
 楼主| 发表于 2013-8-20 10:13:01 | 显示全部楼层
本帖最后由 damonzhao 于 2013-8-20 10:14 编辑

回复 236# xmlsmile


   前端人员会和你沟通的,或者你和前端人员沟通。或者你自己看网表分析下。
有的是需要foundry提供的,有的需要自己用工具转,比如一些macro只提供了lef文件没有mw库
发表于 2013-8-26 20:56:55 | 显示全部楼层
信号完整性到底指的什么?怎么理解?设计的时候该注意什么?
发表于 2013-8-27 18:25:12 | 显示全部楼层
回复 233# damonzhao


版主你好,我有三个问题想请教:    1.我是这样做IO PAD 的,在综合好的netlist中手动实例化一些PAD给port,其中有些PAD的是能信号为1/0固定的,那么我该怎么处理呢,直接这样写.enable(1‘b1);吗?这样的话这个enable信号连接到那里去了呢?是到power/ground ring吗?我见过有些P/G PAD分为core_VDD、core_VSS、IO_VDD、IO_VSS,有可能那些enable信号连接到IO_VDD、IO_VSS吗?
    2.把上面修改过的netlist送人encounter做PR,在STA的时候遇到了问题,错误时没有定义CLOCK,我把SDC文件里的create_clock的source改成CLK_PAD的输入,貌似问题解决了(CTS可以做了),但是clock tree非常小,还是不正确,请教版主,怎么修改SDC文件,这里面应该注意哪些细节?
    3.floorplan的时候用到的DEF文件从何而来,我从来没用过,但是一些教程说可以用这个文件来做FP?DEF从何而来?
谢谢楼主百忙之中帮小弟答疑解惑!
发表于 2013-8-27 20:36:11 | 显示全部楼层




    可以这样理解吗?我也这样理解的。。。
 楼主| 发表于 2013-8-28 08:57:13 | 显示全部楼层
回复 239# nanfeng2808


   Signal integrity is the ability of an electrical signal to carry information reliably and resist the effects of high-frequency electromagnetic interference from nearby signals.
推荐你找来PT-SI的user guide看看先
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 13:55 , Processed in 0.026493 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表