在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 207672|回复: 575

[讨论] 后端基本概念讨论专用贴

[复制链接]
发表于 2012-7-13 10:29:02 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 damonzhao 于 2012-8-13 09:38 编辑

wjchuan 童鞋的帖子http://bbs.eetop.cn/thread-339971-1-3.html了解到,大家对一些基本概念有一种渴求欲。
我想专门开这个帖子,供大家讨论和学习基本概念的。

当然cadence和synopsys对一些概念的描述上的差异也可以拿来讨论。
欢迎摘抄和转贴来讨论,你懂的和不懂的概念都可以拿来,我们大家一起揣摩它!


想达到的效果:后来人通读这个帖子后就能了解后端的基本概念,虽然不是字典,但是用大家的讨论去充实它!

本帖子谢绝灌水,什么“学习啦”,什么“多谢啦”,都免了。来到就是真刀真枪的亮家伙的!最欢迎的是提问帖!
对漂亮的答案,给予适当信元奖励!什么“学习啦”,什么“多谢啦” 的灌水贴,直接禁言处理!
2楼,3楼,供做目录编辑用,方便查找。

论坛是大家的,欢迎您的思考和参与
 楼主| 发表于 2012-7-13 10:29:13 | 显示全部楼层

索引A~M

本帖最后由 damonzhao 于 2012-7-20 15:55 编辑

占楼
 楼主| 发表于 2012-7-13 10:29:24 | 显示全部楼层

索引N~Z

本帖最后由 damonzhao 于 2012-7-20 15:54 编辑

占楼
发表于 2012-7-15 09:36:47 | 显示全部楼层
我就先提问个:create generated clock时,怎么指定master pin呢?
发表于 2012-7-15 16:22:41 | 显示全部楼层
这个想法好!

让我们先给“基本概念”画个范围:
无需实际经验,教科书入门资料中涉及的名词都归为基本概念

希望大家多利用这个帖子提问,尽量不要另开新帖。
如果一定要开新帖,请到这里跟帖挂个链接。
发表于 2012-7-15 16:26:07 | 显示全部楼层
发表于 2012-7-15 16:41:34 | 显示全部楼层




     -source master_pin 就是生成这个generated clock的源clock的pin。它可以是source clock,也可以是generated clock

与此相关的是另一个概念是

    -master_clock clock 是生成这个generated clock的最源头的那个clock。一般情况下,可以不使用这个选项。当有多个源clock到达这个generated clock,工具无法主动判断时,才被要求使用这个选项。
 楼主| 发表于 2012-7-18 10:29:50 | 显示全部楼层
发表于 2012-7-19 10:55:41 | 显示全部楼层
本帖最后由 wenjg76 于 2012-7-19 11:12 编辑

为什么CTS过程中,除了会把时钟线route了,还会把其他的线也route了?
照着.ctstch文件的要求route 时钟不就得了吗?
是不是过程中也夹杂着时序分析,优化?
 楼主| 发表于 2012-7-19 11:12:12 | 显示全部楼层
回复 9# wenjg76


    不明白,你没控制好吧?〉

CTS的时候,如果指定了,它的确是只route clk的

另外,这个帖子是专门针对基本概念的,涉及到具体项目的问题,请另开贴,谢谢配合!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 04:53 , Processed in 0.029437 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表