在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 11149|回复: 54

流水线

[复制链接]
发表于 2003-9-26 22:47:54 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问verilog中流水线如何使用,受时钟的约束么?
发表于 2003-9-27 01:19:37 | 显示全部楼层

流水线

yes, pipeline in risc is very important. designer need to balance the time delay in each stage. then set max delay as timing constraints in synthesis.
发表于 2003-9-27 12:57:17 | 显示全部楼层

流水线

pipiline 本质是把触发器间的组合逻辑分成两个部分来减少延时。可以用来提高系统工作时钟。synplify里面有个选项据说可以做这个工作,不知道效果如何,可以试试看。
发表于 2003-9-27 13:44:46 | 显示全部楼层

流水线

在Synplify中,Retiming是把触发器间的组合逻辑分成两个部分来减少延时。pipeline我觉得应该是Retiming的一个特例,用于乘法器和ROM。不知我的理解对不对,欢迎探讨。
发表于 2003-9-27 17:04:30 | 显示全部楼层

流水线

会楼上,流水线用在控制器(译码器)设计上是很有效的。乘法器用流水线是比较常见的,ROM用流水还没有用过,楼上的是不是说得更详细些?流水线的关键技术fifo控制器的设计不知大家有什么高招?
 楼主| 发表于 2003-9-27 21:09:54 | 显示全部楼层

流水线

请问楼上兄弟,乘法器用流水如何使用,有无具体例子?请不吝赐教。
email:ndshyw◎sina.com
发表于 2003-9-28 09:56:03 | 显示全部楼层

流水线

用流水做乘法是简单而且有效的,关键是的有足够的锁存器。我当时做的时候是参考《从算法设计到硬线逻辑实现(技术与方法)》这本书的,109-114页,上面有个6×10的范例,虽然没有源程序,但对流水及流水乘法器的结构描述简单而且充分,建议你看看这本书。
发表于 2003-9-28 12:21:30 | 显示全部楼层

流水线

(1)我在上面说的情况是Synplify中的设置选项。
(2)对通用设计来讲,pipeline是提高系统工作频率的常见方法。它适合于大量数据处理的场合。它实际是将大量的数据处理分成几个阶段。每个阶段都利用上一个阶段产生的中间结果,直到得到最终结果。这样,从一个数据输入到结果输出需要多个时钟周期,但仅从输出结果来看,每个时钟周期均可以得到一个结果。pipeline所付出的代价就是增加了输入到输出的时钟数目。具体对乘法器来讲,乘法操作实际上是累加和移位的操作,因此比较适合于pipeline。
发表于 2003-9-28 12:54:46 | 显示全部楼层

流水线

收入精华。感谢各位的精彩言论。
 楼主| 发表于 2003-9-28 19:14:02 | 显示全部楼层

流水线

感谢guanfree,虽然我手上没有《从算法设计到硬线逻辑实现(技术与方法)》这本书。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 15:32 , Processed in 0.030037 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表