在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2263|回复: 4

[原创] 模块例化 自动生成 的小工具

[复制链接]
发表于 2007-9-21 09:49:04 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
功能简述:

vlog_inst <file_name>

从输入的verilog文件中查找模块,自动生成该模块实例化 的代码(包含 连线定义 和 实例),支持verilog2001,verilog1995。

使用方法:
   
  可在UE中调用,

      1.菜单->高级->工具配置->命令
           vlog_inst %P%N%E            

      2.菜单->高级->工具配置-> 输出 (选择 捕获输出, 输出到列表框)
     

运行结果例子:

//----------------------------------------------------------
// INPUT wire definition --- fifo_128x8
//----------------------------------------------------------
wire  sinit;
wire  rd_en;
wire  wr_en;
wire  clk;

//----------------------------------------------------------
// OUTPUT wire definition --- fifo_128x8
//----------------------------------------------------------
wire  full;
wire  empty;

//----------------------------------------------------------
// Module instantiation --- fifo_128x8
//----------------------------------------------------------
fifo_128x8 #(
  .data_width ( 8    ),
  .fifo_depth ( 128  ),
  .fifo_width ( 7    )
  ) fifo_128x8_inst (
  .sinit ( sinit ), // I
  .rd_en ( rd_en ), // I
  .wr_en ( wr_en ), // I
  .clk   ( clk   ), // I
  .full  ( full  ), // O
  .empty ( empty )  // O
); // generated by "VLOG_INST"


备注: 这个小工具是用TCL完成的,可能还存在缺陷。
          每个人的代码风格都不尽相同,某些代码风格可能得到的结果不对,如果在使用中发现问题,请联系我: onioni@usa.com

[ 本帖最后由 onioni 于 2007-9-21 09:52 编辑 ]

vlog_inst.rar

778.14 KB, 下载次数: 67 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2007-10-5 21:50:51 | 显示全部楼层
have a look。。。。
发表于 2008-1-26 18:45:59 | 显示全部楼层
睇下先顶啊
发表于 2009-4-22 21:10:16 | 显示全部楼层
fffffffffffffffffffffffffffff
发表于 2013-3-31 22:39:24 | 显示全部楼层
太牛了!!!!!感激涕零啊!!!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 21:43 , Processed in 0.032096 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表