在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: 9065574912

[求助] 求助:vcs分步编译

[复制链接]
发表于 2016-6-10 11:05:58 | 显示全部楼层
在Makefile 里用不同的target/rule, 先编译DUT,然后TB, 然后ELAB, 然后SIM
 楼主| 发表于 2016-6-12 14:20:31 | 显示全部楼层
回复 21# ue11


   有尝试过,但是dut编译都不通过,很是苦恼
发表于 2016-6-14 21:07:14 | 显示全部楼层
我用questasim就是先编译dut,再编译tb,没问题的,vcs也因该类似
 楼主| 发表于 2016-6-15 08:57:50 | 显示全部楼层
回复 23# tianyan_chn


   没搞定啊
发表于 2016-6-19 18:48:28 | 显示全部楼层
本帖最后由 lgen7604 于 2016-6-19 18:49 编辑

提供個簡單的範例參考,執行 make 是完整步驟,
如果修改了 tb.v,只要 make tb elab sim 就可以了。

test_3step.tar.gz (558 Bytes, 下载次数: 101 )
 楼主| 发表于 2016-6-21 09:42:24 | 显示全部楼层
回复 25# lgen7604


   非常感谢,但是在运行elab的时候报错了
Error-[SFCOR] Source file cannot be opened
  Source file "tb" cannot be opened for reading due to 'No such file or
  directory'.
  Please fix above issue and compile again.



1 error
CPU time: .250 seconds to compile
make: *** [elab] Error 255



makefile中写的是elab: vcs tb

这块是不是错了呀
 楼主| 发表于 2016-6-21 09:49:05 | 显示全部楼层
回复 25# lgen7604


   非常感谢,例子超棒不过有个问题还是要问下

makefile中的elab:vcs tb这句是不是不对呀

Error-[SFCOR] Source file cannot be opened
  Source file "tb" cannot be opened for reading due to 'No such file or
  directory'.
  Please fix above issue and compile again.



1 error
CPU time: .250 seconds to compile
make: *** [elab] Error 255
 楼主| 发表于 2016-6-28 09:07:23 | 显示全部楼层
回复 25# lgen7604


   hello
 楼主| 发表于 2016-6-30 14:04:06 | 显示全部楼层
回复 21# ue11


   不好意思,问一下,elab那步有问题怎么处理啊
 楼主| 发表于 2016-6-30 14:05:12 | 显示全部楼层
回复 16# acgoal


   您知道elab的时候出错怎么解决吗
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 20:51 , Processed in 0.034803 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表