在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: 9065574912

[求助] 求助:vcs分步编译

[复制链接]
 楼主| 发表于 2016-6-1 14:24:33 | 显示全部楼层
回复 9# acgoal


   请问具体该如何操作呀,能详解下吗
发表于 2016-6-1 16:39:49 | 显示全部楼层
回复 11# 9065574912


   你可以去参考vcs的user guide,具体步骤我不太记得了,VCS的使用说明里面有的,多步编译,或者叫multi step compile
发表于 2016-6-2 15:51:17 | 显示全部楼层
就是analyze和elab
 楼主| 发表于 2016-6-3 15:54:41 | 显示全部楼层
回复 13# 不想起的猪


   没有看到诶
 楼主| 发表于 2016-6-3 15:56:53 | 显示全部楼层
回复 12# acgoal


   没有找到诶。。。
发表于 2016-6-4 13:22:31 | 显示全部楼层
回复 15# 9065574912


   vcsmx_ug里面有的,第二章 vcs flow,里面有一节讲three-step flow,这一节就是讲这个的。
 楼主| 发表于 2016-6-6 09:29:52 | 显示全部楼层
回复 16# acgoal


   好的,我找找看,谢啦!
 楼主| 发表于 2016-6-6 11:44:04 | 显示全部楼层
回复 16# acgoal


   试了一下,不太行,输入命令vlogan -full64 dff_2.v
Warning-[MXIR-W] VCS-MX build is required
  Please make sure that vlogan is from the intended build.



。。。
This program is proprietary and confidential information of Synopsys Inc.
and may be used and disclosed only as authorized in a license agreement
controlling such use and disclosure.


Error-[ILWOR] Incorrect Logical Worklib or Reflib
  The incorrect logical lib is "work".
  Please check your Synopsys setup file.
发表于 2016-6-6 19:06:27 | 显示全部楼层
回复 18# 9065574912


   其实log已经说了,,叫你检查synopsys setup file。。。。你仔细看看例子。
 楼主| 发表于 2016-6-7 09:29:13 | 显示全部楼层
回复 19# acgoal


   不好意思啊,新手,不知道这个问题该怎么解决
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 03:27 , Processed in 0.026285 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表