|

楼主 |
发表于 2016-7-1 09:33:51
|
显示全部楼层
回复 32# loecd4346
tb.v
module tb();
reg [31:0] a = 0;
reg [31:0] b = 0;
wire [31:0] c;
adder u_adder (a, b, c);
initial begin
$monitor("a=%0d, b=%0d, c=%0d", a, b, c);
a = 13;
b = 15;
#10;
a = 18;
b = 19;
#10;
$finish;
end
endmodule
makefile
elab:
vcs tb
这么写对吗? |
|