在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: 9065574912

[求助] 求助:vcs分步编译

[复制链接]
 楼主| 发表于 2016-6-30 14:12:33 | 显示全部楼层
回复 19# acgoal


   就是找不到design_unit, 要怎么解决呀
发表于 2016-6-30 15:57:19 | 显示全部楼层
你elaborate那一步的命令是怎么写的?你的testbenh的顶层名字叫什么,是“tb”吗?
 楼主| 发表于 2016-7-1 09:33:51 | 显示全部楼层
回复 32# loecd4346

tb.v
  module tb();

reg  [31:0] a = 0;
reg  [31:0] b = 0;
wire [31:0] c;




adder u_adder (a, b, c);


initial begin
    $monitor("a=%0d, b=%0d, c=%0d", a, b, c);
    a = 13;
    b = 15;
    #10;
    a = 18;
    b = 19;
    #10;
    $finish;
end


endmodule


makefile
elab:

vcs tb

这么写对吗?
发表于 2016-7-1 09:44:35 | 显示全部楼层
回复 33# 9065574912


   应该是可以的,猜想应该你vlogan的时候没有把tb一起加进去做analysis
 楼主| 发表于 2016-7-1 09:52:40 | 显示全部楼层
回复 34# loecd4346


   什么意思?不是很懂setup文件:
DUT : ./DUT
TB  : ./TB



makefile
dut:

vlogan adder.v -work DUT

tb:

vlogan tb.v -work TB

elab:

vcs tb

sim:

./simv
发表于 2016-7-1 10:45:24 | 显示全部楼层
makefile
analysis:

vlogan adder.v tb.v  

elab:

vcs tb

sim:

./simv
发表于 2016-7-1 10:46:10 | 显示全部楼层
这样试试可不可以
 楼主| 发表于 2016-7-1 10:48:48 | 显示全部楼层
回复 37# loecd4346


   可是这样是不是就不是分步编译了呢
 楼主| 发表于 2016-7-1 10:50:05 | 显示全部楼层
回复 38# 9065574912


   现在执行完make dut 和make tb会分别生成AN.DB的文件
发表于 2016-7-1 11:01:21 | 显示全部楼层
回复 38# 9065574912


   分几次应该也可以的啊。那你试试把-work去掉?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 09:48 , Processed in 0.026532 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表