在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 26401|回复: 61

[求助] 求助:vcs分步编译

[复制链接]
发表于 2016-5-26 11:41:53 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
vcs编译可不可以先编译DUT,然后在编译TB。
 楼主| 发表于 2016-5-26 11:44:19 | 显示全部楼层
在线等,Up Up Up
 楼主| 发表于 2016-5-26 14:12:16 | 显示全部楼层
没有人遇到过类似问题吗?
发表于 2016-5-26 14:44:39 | 显示全部楼层
你不要将DUT例化进去
应该不会报错的
 楼主| 发表于 2016-5-26 14:58:05 | 显示全部楼层
回复 4# e_epsh

不例化怎么进行后面的仿真呀
发表于 2016-5-26 15:23:09 | 显示全部楼层
回复 5# 9065574912


    那你不编译DUT 本来就不能仿真啊
你想做什么?
 楼主| 发表于 2016-5-26 15:35:43 | 显示全部楼层
回复 6# e_epsh


   我想先编译DUT,然后在编译TB的时候利用编译DUT生成的某些文件完成编译并仿真
 楼主| 发表于 2016-5-27 09:13:20 | 显示全部楼层
upupupup
发表于 2016-5-27 13:19:51 | 显示全部楼层
回复 8# 9065574912


    可以的,参考vcs 的user guide,可以编译DUT,再编译TB,然后做elab,就可以仿真了。
 楼主| 发表于 2016-5-27 17:56:22 | 显示全部楼层
回复 9# acgoal


   能私聊,请教下细节吗?微信号短消息发你啦!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 00:59 , Processed in 0.034622 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表