在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5016|回复: 19

[求助] encounter时钟树综合问题求助

[复制链接]
发表于 2016-5-11 09:57:48 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本人使用ENCOUNTER做版图,在CTS的时候做个特殊处理,本来全局时钟clk驱动很多寄存器,但是想让其中十来个寄存器跟其余大部分寄存器不balance,整体做CTS的话,latency能有2ns左右,想让这几个寄存器的latency做到最小,不balance其他寄存器,应该怎么做?
在Clock spec文件里怎么修改?很急求助
发表于 2016-5-11 11:20:03 | 显示全部楼层
exclude pin?
 楼主| 发表于 2016-5-11 11:37:24 | 显示全部楼层
回复 2# damonzhao


    可能是,但是用不出效果啊,确定是这个命令吗
发表于 2016-5-11 14:12:48 | 显示全部楼层
应该没问题吖。

或者单独出一个时钟pin来balance这十几个寄存器,在顶层上再把这个pin和原时钟连接就好了。
 楼主| 发表于 2016-5-11 16:51:56 | 显示全部楼层
回复 4# damonzhao


    CTS会保证被"ExcludedPin"的那些寄存器相互之间balance吗
发表于 2016-5-11 16:56:16 | 显示全部楼层
Snap28.jpg
发表于 2016-5-11 16:57:59 | 显示全部楼层
回复 5# 无乐不作


   你如果想分为两批来分别balance,那就按照我前面说的做,或者通过某个单元独立设定root pin来解决
发表于 2016-5-11 17:22:55 | 显示全部楼层
回复 6# damonzhao


    你好。你发的这个图片的文档能否共享一下呢,谢谢。
发表于 2016-5-12 11:49:09 | 显示全部楼层
回复 8# SMHILYCM


   论坛里就有 EDI10的lec
FPR_10_1.lect.pdf (7.69 MB, 下载次数: 135 )
发表于 2016-5-12 12:11:35 | 显示全部楼层
globalLearpin???????
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 09:50 , Processed in 0.032515 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表