在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: jiazhang

[求助] min pulse width违例 如何修【已解决】

[复制链接]
发表于 2015-4-8 21:49:26 | 显示全部楼层
如果min_pulse_width出现违反,low pulse 就会被latch滤掉,到时输出的波形只有高电平啦。
这种问题如果拿不定注意,可以对该latch用hspice仿真,如果真的滤掉了,到时硅片变砖头啦。
 楼主| 发表于 2015-4-8 23:09:53 | 显示全部楼层
回复 11# jiazhuliang

hspice啊? 还没用过呢。。。;

库中普通cell的 min pulse都很小,就latch的min pulse要求比较大;


这个出现违例了,也不知道该怎么修了!


请问在icc中该如何修复这种violation呢
发表于 2015-4-9 11:57:56 | 显示全部楼层
怎么看到问题变成“已解决”了?

min_pulse_width 必须修的。这个不修芯片要死啊。

我查我用的TSMC的ICG 的cell ,基本min_pulse_width 都是100-200ps的范畴。没有过1ns的道理。

建议仔细查查这个ICG cell到底是怎么建造的,换一种ICG
 楼主| 发表于 2015-4-9 15:17:18 | 显示全部楼层
回复 13# papertiger

[size=14.3999996185303px]我查我用的TSMC的ICG 的cell ,基本min_pulse_width 都是100-200ps的范畴

请问你这个是怎么查的,lib中关于cell的信息有描述min pulse width的吗?


我看了下我的库,关于ICG cell只有两种 一种是X8的 一种是X2的,我两个都试了下,可都是有这个违例!
发表于 2015-4-9 16:27:49 | 显示全部楼层
timing_type : min_pulse_width;

        rise_constraint (mpw_constraint_template_3x3) {
          index_1 ("0.0031, 0.0704, 0.6085");
          values ( \
            "0.139609, 0.15361, 0.753174" \
          );
        }
 楼主| 发表于 2015-4-9 17:06:45 | 显示全部楼层
回复 15# papertiger

321123.png
   我的icg的min pulse width都比较大!
发表于 2015-4-9 17:14:44 | 显示全部楼层
查下逻辑吧
发表于 2015-4-9 17:18:09 | 显示全部楼层
发表于 2015-4-13 13:57:22 | 显示全部楼层



这个不科学啊,应该是transition越大,min_pulse_width越大才对呀,你这怎么是反的?
发表于 2015-12-7 15:07:41 | 显示全部楼层
不知道LZ解决这个问题了吗?貌似我也遇到这个violation,但不知道如何来修?或是不修?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-25 13:39 , Processed in 0.029387 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表