在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
123
返回列表 发新帖
楼主: jiazhang

[求助] min pulse width违例 如何修【已解决】

[复制链接]
发表于 2015-12-7 16:21:31 | 显示全部楼层
如果有transition还没有修掉,会影响这个min_pulse_width的violation的!
发表于 2018-3-11 16:02:53 | 显示全部楼层
请问place之后出现min_pulse_width的slack是负的要修吗,怎么修呢,急!!!!
发表于 2023-5-15 17:11:47 | 显示全部楼层
修的话我看到网上有两种办法,一种是在sdc里面set_min_pulse_width value [get_clocks clk],一种是我遇到的错的离谱,slack负了几百的,是sdc里面clock的占空比设置有问题,一般设置成50%就能修掉了
发表于 2023-6-7 11:38:14 | 显示全部楼层
cts做tree用inverter也会有所改善
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-23 15:58 , Processed in 0.018722 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表